超聲波測(cè)距課設(shè)_第1頁
超聲波測(cè)距課設(shè)_第2頁
超聲波測(cè)距課設(shè)_第3頁
超聲波測(cè)距課設(shè)_第4頁
超聲波測(cè)距課設(shè)_第5頁
已閱讀5頁,還剩15頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、超聲波測(cè)距課設(shè)ZIilJiIgyUlm UJlVelt UfTftJiIWJlyy單片機(jī)課程設(shè)計(jì)報(bào)告課題名稱超聲波測(cè)距儀專 業(yè)學(xué)生姓名學(xué) 號(hào)指導(dǎo)教師4 / 20目錄摘要 3一、總體方案介紹 3二、硬件設(shè)計(jì) 52.1顯示電路 52.2超聲波發(fā)射電路 62.3超聲波接收電路 7三、軟件設(shè)計(jì) 73.1主程序設(shè)計(jì) 83.2超聲波發(fā)射子程序及接收中斷子程序 93.3關(guān)于超聲波測(cè)距中的盲區(qū)問題 10四、系統(tǒng)調(diào)試 10五、心得體會(huì) 11六、參考文獻(xiàn) 12七、附件摘要隨著科學(xué)技術(shù)的快速發(fā)展, 超聲波將在測(cè)距儀中的應(yīng)用越來越廣。 但就目前 技術(shù)水平來說, 人們可以具體利用的測(cè)距技術(shù)還十分有限, 因此,這是一個(gè)正

2、在 蓬勃發(fā)展而又有無限前景的技術(shù)及產(chǎn)業(yè)領(lǐng)域。 展望未來, 超聲波測(cè)距儀作為一種 新型的非常重要有用的工具在各方面都將有很大的發(fā)展空間, 它將朝著更加高定 位高精度的方向發(fā)展,以滿足日益發(fā)展的社會(huì)需求,如聲納的發(fā)展趨勢(shì)基本為: 研制具有更高定位精度的被動(dòng)測(cè)距聲納,以滿足水中武器實(shí)施全隱蔽攻擊的需 要;繼續(xù)發(fā)展采用低頻線譜檢測(cè)的潛艇拖曳線列陣聲納, 實(shí)現(xiàn)超遠(yuǎn)程的被動(dòng)探測(cè) 和識(shí)別;研制更適合于淺海工作的潛艇聲納, 特別是解決淺海水中目標(biāo)識(shí)別問題; 大力降低潛艇自噪聲, 改善潛艇聲納的工作環(huán)境。 無庸置疑, 未來的超聲波測(cè)距 儀將與自動(dòng)化智能化接軌, 與其他的測(cè)距儀集成和融合, 形成多測(cè)距儀。 隨著測(cè)

3、 距儀的技術(shù)進(jìn)步, 測(cè)距儀將從具有單純判斷功能發(fā)展到具有學(xué)習(xí)功能, 最終發(fā)展 到具有創(chuàng)造力。在新的世紀(jì)里,面貌一新的測(cè)距儀將發(fā)揮更大的作用。本設(shè)計(jì)采用以AT89C51單片機(jī)為核心的低成本、高精度、微型化數(shù)字顯示超 聲波測(cè)距儀的硬件電路和軟件設(shè)計(jì)方法。整個(gè)電路采用模塊化設(shè)計(jì),由主程序、 中斷程序、發(fā)射子程序、接收子程序、顯示子程序等模塊組成。各探頭的信號(hào)經(jīng) 單片機(jī)綜合分析處理, 實(shí)現(xiàn)超聲波測(cè)距儀的各種功能。 在此基礎(chǔ)上設(shè)計(jì)了系統(tǒng)的 總體方案,最后通過硬件和軟件實(shí)現(xiàn)了各個(gè)功能模塊。一、 總體方案介紹本文所研究的超聲波測(cè)距儀利用超聲波指向性強(qiáng)、 能量消耗緩慢、 傳播距離 較遠(yuǎn)等優(yōu)點(diǎn), 即用超聲波發(fā)射

4、器向某一方向發(fā)送超聲波, 同時(shí)在發(fā)射的時(shí)候開始計(jì)時(shí),在超聲波遇到障礙物的時(shí)候反射回來, 超聲波接收器在接收到反射回來的 超聲波時(shí),停止計(jì)時(shí)。設(shè)超聲波在空氣中的傳播速度為V,在空氣中的傳播時(shí)間為T,汽車與障礙物的距離為S, S=VT/2,這樣可以測(cè)出汽車與障礙物之間的距 離,然后在LED顯示屏上顯示出來。其工作機(jī)理是依據(jù)壓電材料的正逆壓電效應(yīng),利用逆壓電效應(yīng)產(chǎn)生超聲波, 即逆壓電效應(yīng)是在壓電材料上加上某種特定頻率的交變正弦信號(hào), 材料就會(huì)產(chǎn)生 隨所加電壓的變化規(guī)律而變化的機(jī)械形變, 這種機(jī)械形變推動(dòng)周圍介質(zhì)振動(dòng), 產(chǎn) 生疏密相間的機(jī)械波,如果其振動(dòng)頻率在超聲范圍內(nèi),這種機(jī)械波就是超聲波。本文所設(shè)

5、計(jì)的超聲波測(cè)距儀主要由 AT89C52單片機(jī)、超聲波發(fā)射電路、超聲 波接收放大電路、顯示電路 .首先由單片機(jī)驅(qū)動(dòng)產(chǎn)生12MHZ晶振,由超聲波發(fā)射探頭發(fā)送出去,在遇到障 礙物反射回來時(shí)由超聲波接收探頭檢測(cè)到信號(hào), 然后經(jīng)過濾波、 放大、整形之后 送入單片機(jī)進(jìn)行計(jì)算,把計(jì)算結(jié)果輸出到LED液晶顯示屏上。超聲波發(fā)生器可以 分為兩大類:一類是用電氣方式產(chǎn)生超聲波; 另一類是用機(jī)械方式。 產(chǎn)生超聲波。 電氣方式包括壓電型、電動(dòng)型等;機(jī)械方式有加爾統(tǒng)笛、液 和氣流旋笛等。它 們所產(chǎn)生的超聲波的頻率, 功率和聲波特性各不相同, 因而用途也各不相同。 目 前在近距離測(cè)量方面較為常用的是壓電式超聲波換能器。綜上

6、分析決定采用AT89C51單片機(jī)作為主控制器,其中超聲波系統(tǒng)設(shè)計(jì)如圖1.1 所示超聲波測(cè)距課設(shè)圖1.1、硬件設(shè)計(jì)硬件電路可分為單片機(jī)系統(tǒng)及顯示電路、 超聲波發(fā)射電路和超聲波檢測(cè)接收 電路三部分。2.1顯示電路本系統(tǒng)單片機(jī)通過P1.0引腳經(jīng)反相器來控制超聲波的發(fā)送,然后單片機(jī)不 停的檢測(cè)INTo引腳,當(dāng)INTo引腳的電平由高電平變?yōu)榈碗娖綍r(shí)就認(rèn)為超聲波已 經(jīng)返回。計(jì)數(shù)器所計(jì)的數(shù)據(jù)就是超聲波所經(jīng)歷的時(shí)間,通過換算就可以得到傳感器與障礙物之間的距離。超聲波測(cè)距的硬件示意圖如圖2.1所示:?jiǎn)纹瑱C(jī)采用89S52或其兼容系列。采用12MHz高精度的晶振,已獲得較穩(wěn)定 的時(shí)鐘頻率,減少測(cè)量誤差。單片機(jī)用P1

7、.0端口輸出超聲波換能器所需的40KHz 的方波信號(hào),利用外中斷0 口檢測(cè)超聲波接收電路輸出的返回信號(hào)。IIlIIdCIIICLIrrlef a t*com6莒配萱表2.1顯示字符共陽極段選碼dp gfedcba顯示字符共陽極段選碼dp gfedcba0C0HA88H1F9HB83H2A4HCC6H3B0HDA1H499HE86H592HF8EH682HP8CH7F8Hy91H880H8.00H990H火FFH2.2超聲波發(fā)射電路超聲波發(fā)射電路原理圖如圖2.2所示。發(fā)射電路主要有反向器和超聲波發(fā)生 換能器T構(gòu)成,單片機(jī)P1.0的端口輸出40KHz方波信號(hào)一路經(jīng)一級(jí)反向器后送 到超聲波換能器的一

8、個(gè)電極,另一路經(jīng)兩級(jí)反向器后送到超聲波換能器的另一個(gè) 電極。用這種推挽形式將方波信號(hào)加到超聲波換能器兩端,可以提高超聲波的發(fā) 射強(qiáng)度。輸出端采用兩個(gè)反向器并聯(lián),用以提高驅(qū)動(dòng)能力。上拉電阻R10, R11一方面可以提高反向器74lS04輸出高電平的驅(qū)動(dòng)能力,另一方面可以增加超聲 波換能器的阻尼效果,縮短其自由震蕩的時(shí)間。2.3超聲波接收電路集成電路CX20106A是一款紅外線檢波接收的專用芯片,常用于電視機(jī)紅外 遙控接收器??紤]到紅外遙控常用的載波頻率 38KHZ與測(cè)距的超聲波頻率40KHZ 較為接近,可以利用它制作超聲波檢測(cè)接收電路(如圖3-5)。實(shí)驗(yàn)證明用CX20106A接受超聲波(無信號(hào)時(shí)

9、輸出高電平),具有很高的靈敏度和較強(qiáng)的抗干 擾能力。適當(dāng)更改電容C4的大小,可以改變接收電路的靈敏度和抗干擾能力。三、軟件設(shè)計(jì)超聲波測(cè)距的原理,即超聲波發(fā)生器T在某一時(shí)刻發(fā)出一個(gè)超聲波信號(hào),當(dāng) 這個(gè)超聲波信號(hào)遇到被測(cè)物體后反射回來, 就被超聲波接收器R所接收到。這樣 只要計(jì)算出從發(fā)出超聲波信號(hào)到接收到返回信號(hào)所用的時(shí)間, 就可以計(jì)算出超聲 波發(fā)生器與反射物體的距離。距離的計(jì)算公式:d=s/2=(c*t)/2其中 d 為被測(cè)物與測(cè)距器的距離, s 為聲波的來回路程, c 為聲波, t 為聲 波來回所用的時(shí)間。其中聲速 c 與溫度有關(guān)。C=331.5+0.607*T如果要提高測(cè)距精確度, 則必須考

10、慮溫度的影響, 也可取室溫簡(jiǎn)化電路設(shè)計(jì), 將溫度傳 感器作為擴(kuò)展電路,在力所能及的情況下完成。3.1 主程序設(shè)計(jì)主程序首先是對(duì)系統(tǒng)環(huán)境初始化,設(shè)定定時(shí)器 To工作模式為6位定時(shí)計(jì)數(shù) 器模式,置位總中斷允許位 EA并給顯示端口 P0和P2清0。然后調(diào)用超聲波發(fā) 生子程序送出一個(gè)超聲波脈沖, 為了避免超聲波從發(fā)射器直接傳送到接收器引起 的直射波觸發(fā),需要延時(shí)約0.1ms (這也就是超聲波測(cè)距器會(huì)有一個(gè)最小測(cè)距離 的原因)后,才打開外中斷0接收返回的超聲波信號(hào)。由于采用的是12MHZ勺晶 振,計(jì)算器每計(jì)一個(gè)數(shù)就是1us,當(dāng)主程序檢測(cè)到接收成功的標(biāo)志位后,將計(jì) 數(shù)器T0中的數(shù)(即超聲波來回所用的時(shí)間)

11、按式(1-2 )計(jì)算,即可得被測(cè)物體 與測(cè)距器之間的距離,設(shè)計(jì)時(shí)取 20C時(shí)的聲速為344m/s。20 / 201發(fā)射超聲波脈沖1等待反射超聲波計(jì)算距離顯示結(jié)果0.5s主程序流程圖3.2超聲波發(fā)射子程序及接收中斷子程序超聲波發(fā)生子程序的作用是通過 P1.0端口發(fā)送2個(gè)左右超聲波脈沖信號(hào)(頻 率約為40kHz的方波),脈沖寬度為12us左右,同時(shí)把計(jì)數(shù)器To打開進(jìn)行計(jì)時(shí)。 超聲波發(fā)生子程序較簡(jiǎn)單,但要求程序運(yùn)行時(shí)間準(zhǔn)確,所以采用匯編語言編程。 超聲波測(cè)距器主程序利用外中斷 0 檢測(cè)返回超聲波信號(hào), 一旦接收到返回超 聲波信號(hào)(即 INT0 引腳出現(xiàn)低電平)立即進(jìn)入中斷程序。進(jìn)入該中斷程序后立 即

12、關(guān)閉計(jì)時(shí)器 T0 停止計(jì)時(shí),并將測(cè)距成功標(biāo)志字賦值 1。如果當(dāng)計(jì)時(shí)器溢出是還未檢測(cè)到超聲波返回信號(hào),則定時(shí)器T0 溢出中斷將外中斷 0 關(guān)閉,并將測(cè)距成功標(biāo)志字賦值 2 以表示本次測(cè)距不成功。3.3 關(guān)于超聲波測(cè)距中的盲區(qū)問題 超聲波測(cè)距是根據(jù)超聲波發(fā)射到接收經(jīng)過的時(shí)間來測(cè)量介質(zhì)之間的距離, 但 超聲波的發(fā)射端和接收端之間可能不經(jīng)過物體的反射,直接接收到發(fā)射的超聲 波,這時(shí)測(cè)量的距離就不是物體間的距離,而是超聲波發(fā)射端與接收端的距離, 這段距離就是盲區(qū)。超聲波盲區(qū)是一定要避免的, 通常用軟件控制, 方法如下, 單片機(jī)控制模組 每次發(fā)生若干完整的40KHZ的脈沖信號(hào),發(fā)射信號(hào)前打開計(jì)數(shù)器 TO,

13、進(jìn)行計(jì)時(shí), 等計(jì)時(shí)到一定值后再開啟檢測(cè)回波信號(hào), 這個(gè)計(jì)時(shí)時(shí)間要大于超聲波從發(fā)射端傳 到接收端時(shí)間, 這樣就可避免干擾, 而測(cè)距的最小距離要大于發(fā)射端到接收端的 距離。四、系統(tǒng)調(diào)試 超聲波測(cè)距儀的制作和調(diào)試都比較簡(jiǎn)單, 安裝時(shí)應(yīng)保持兩換能器中心軸線平 行并相距48cm其余元件無特殊要求。若能將超聲波接收電路用金屬殼屏蔽 起來,則可提高抗干擾能力。 根據(jù)測(cè)量范圍要求不同, 可適當(dāng)調(diào)整與接收換能器 并接的濾波電容C4的大小,以獲得合適的接收靈敏度和抗干擾能力。制作完成并調(diào)試好后, 便可將程序編譯好下載到單片機(jī)試運(yùn)行。 根據(jù)實(shí)際情 況可以修改超聲波發(fā)生子程序每次發(fā)送的脈沖寬度和兩次測(cè)量的間隔時(shí)間,

14、以適 應(yīng)不同距離的測(cè)量需要。根據(jù)所設(shè)計(jì)的電路參數(shù)和程序,測(cè)距儀能測(cè)的范圍為0.075.5m,測(cè)距儀最大誤差不超過ICm系統(tǒng)調(diào)試完后應(yīng)對(duì)測(cè)量誤差和重復(fù)一 致性進(jìn)行多次實(shí)驗(yàn)分析,不斷優(yōu)化系統(tǒng)使其達(dá)到實(shí)際使用的測(cè)量要求。調(diào)試注意事項(xiàng) :一、超聲波探頭表面嚴(yán)禁用手及其它物體觸摸以免產(chǎn)生信號(hào)滯后性及損壞二、所有 IC 器件最好拔下烙鐵插頭再焊接 ,以免損壞 .三、按圖安裝完畢后接上12V電源,測(cè)距部分只要安裝正確不必進(jìn)行調(diào)試即可正常工作 , 同時(shí)接收電路應(yīng)用鐵皮屏蔽以增加抗干擾的性能 . 以上所有芯片采 用 DIP 封裝如要縮小體積所有器件可用貼片封裝 .四、使用注意事項(xiàng) : 在測(cè)距中應(yīng)保證測(cè)距儀與被測(cè)

15、物體距離為定值 , 要和被測(cè)物 體成一條直線 , 使測(cè)得距離讀數(shù)的準(zhǔn)確性 .五、心得體會(huì)為期一周的單片機(jī)課程設(shè)計(jì)結(jié)束了, 最大的收獲是系統(tǒng)的了解的利用單片機(jī) 制作一個(gè)產(chǎn)品的過程, 同時(shí)鞏固了以前所學(xué)過的知識(shí), 而且學(xué)到了很多在書本上 所沒有學(xué)到過的知識(shí)。通過這次課程設(shè)計(jì)使我懂得了理論與實(shí)際相結(jié)合的重要 性,只有理論知識(shí)是遠(yuǎn)遠(yuǎn)不夠的, 只有把所學(xué)到理論知識(shí)與實(shí)踐相結(jié)合起來, 從 理論中得出結(jié)論才能真正的服務(wù)于工作。這次實(shí)設(shè)計(jì)中遇到很多實(shí)際性的問題, 在實(shí)際設(shè)計(jì)中才發(fā)現(xiàn), 書本上理論性 的東西在實(shí)際運(yùn)用中還是有一定出入的, 所以有些問題不但要深入理解, 而且要 不斷更正自己的錯(cuò)誤思維。 很多子程序

16、是可以借鑒別人的, 但是程序之間的銜接 才是關(guān)鍵, 因此可以說系統(tǒng)的設(shè)計(jì)是軟件與硬件的結(jié)合, 二者是密不可分的。 這 次的課設(shè)也激發(fā)了我對(duì)單片機(jī)的學(xué)習(xí)興趣, 我想這將對(duì)我今后的學(xué)習(xí)產(chǎn)生積極的 影響。其次, 這次的課設(shè)讓我充分的認(rèn)識(shí)到團(tuán)隊(duì)合作的重要性, 只有分工合作才 能保證工作的有條不紊。六、參考文獻(xiàn)【1】史彥斌,段哲民,高憲軍,基于 AT89C2051的超聲波測(cè)距儀設(shè)計(jì),新技術(shù) 新儀器, 2007【 2】周凱,趙望達(dá),趙迪,劉靜,高精度超聲波測(cè)距系統(tǒng),測(cè)試技術(shù)卷,2007【 3】常靜,賀煥林,減少超聲波測(cè)距儀盲區(qū)的研究,中國(guó)棉花加工,2005附件一: 程序 #include#include

17、unsigned char intt0;unsigned int x ; unsigned int temper ;/ 計(jì)算時(shí)候溫度兩位溫小數(shù)/ 發(fā)射超聲波float n;float s;float tt;sbit sent=P20; sbit receive=P32; sbit led1=P11;sbit led2=P15;sbit led3=P16;sbit led4=P17;/ 成功標(biāo)志/ 報(bào)警標(biāo)志/ 停止/ 失敗標(biāo)志Sbit begi n=P33k1開始按鈕Sbit StOP=P36k2停止按鈕Sbit Wen du=P37;/k3 溫度 /Sbit H4052B=P12;Sbit H

18、4052A=P13;unSigned char Set_S=20;unSigned char fail;/* 超聲波40khz發(fā)射10個(gè),大約200us*/ vOid cSb_40k() unSigned char i=30;/8.6cmWhile(i-)_nOP_(); _nOP_();_nOP_();_nOP_(); Sent=Sent;/*T0 溢出中斷 */ vOid timer0() interruPt 1TR0=0; /T0 停止計(jì)數(shù)EX0=0;OutSeg0=Seg20;/ 顯示緩沖區(qū)是 FAIL OutSeg1=Seg21;OutSeg2=Seg22;OutSeg3=Seg23

19、;vOid timer1() interruPt 3TF1=0; TH1=0; TL1=100; led4=led4;/*- 外部中斷0, -*/void intr0() interrupt 01TR0=0;/T0停止計(jì)數(shù)EX0=0;/關(guān)外部中斷l(xiāng)ed1=0;/測(cè)距成功標(biāo)志led3=1;led4=1;/滅測(cè)距失敗標(biāo)志n=TH0;/n=n*256+TL0;/n存放計(jì)數(shù)intt0=1;/ 主函數(shù)void main()TMOD=0x11; /定時(shí)器 0 計(jì)數(shù)TH1=0;TL1=100;ET1=1;EA=1; / 開總中斷H4052B=0;H4052A=1;x=ReadTemperature();wh

20、ile(1) / 循環(huán)b: display(); / 顯示 if(begin=0)&(stop=1)&(wendu=1) delay_18B20(1000);/ 去抖動(dòng) if(begin=0)&(stop=1)&(wendu=1)/ 開始 H4052B=0;H4052A=1;fail=1;delay_18B20(1000);while(begin=0);/ 按鍵松開之后動(dòng)作TH0=0x00; / 計(jì)數(shù)清零TL0=0x00; /ET0=1; / 定時(shí)器 0 中斷允許TR0=1; /T0 計(jì)數(shù)計(jì)時(shí)csb_40k(); / 發(fā)射 6delay_18B20(20); /14.7 避開盲區(qū) 20cm E

21、X0=1;else if(stop=0)&(begin=1)&(wendu=1)停止 delay_18B20(1000);/ 去抖動(dòng) if(stop=0)&(begin=1)&(wendu=1)/ while(stop=0);while(1)if(begin=0)set_s+;while(begin=0);else if(wendu=0)set_s-;while(wendu=0);else if(stop=0)while(stop=0);goto b;buf2=(unsigned char)(set_s/10%10);buf3=(unsigned char)(set_s%10); outseg

22、0=0;outseg1=0;outseg2=seg0buf2;outseg3=seg0buf3;display();else if(wendu=0)&(begin=1)&(stop=1) / 單獨(dú)測(cè)溫度 delay_18B20(2000);/ 去抖動(dòng)if(wendu=0)&(begin=1)&(stop=1) while(wendu=0);H4052B=1;H4052A=0;fail=2;delay_18B20(1000);delay_18B20(1000); while(begin=0);/ 按鍵松開之后動(dòng)作TH0=0x00; / 計(jì)數(shù)清零TL0=0x00; /ET0=1; /定時(shí)器 0 中

23、斷允許TR0=1; /T0 計(jì)數(shù)計(jì)時(shí)csb_40k(); /發(fā)射 6delay_18B20(20); /14.7 避開盲區(qū) 20cm EX0=1;if(intt0=1)s=336*n/20000; / 計(jì)算距離if(fail=1)s-=5;if(s51)s=0;if(fail=2)s+=18;fail=0;if(sset_s) TR1=1; /s 大于設(shè)置值報(bào)警,響, led4 亮 else led4=1;TR1=0;if(s100)/小于 100 顯示 * 。 *x=(unsigned int)(s*100); / 取前四位放入 x buf0=(unsigned char)(x/1000);

24、 / 距離前四位放入 buf 中buf1=(unsigned char)(x/100%10);buf2=(unsigned char)(x/10%10);buf3=(unsigned char)(x%10); outseg0=seg0buf0; outseg1=seg1buf1; outseg2=seg0buf2; outseg3=seg0buf3;else / 大于 100 顯示 * 。* x=(unsigned int)(s*10); / 取前四位放入 x buf0=(unsigned char)(x/1000); / 距離前四位放入 buf 中buf1=(unsigned char)(x

25、/100%10);buf2=(unsigned char)(x/10%10); buf3=(unsigned char)(x%10);outseg0=seg0buf0; outseg1=seg0buf1; outseg2=seg1buf2; outseg3=seg0buf3;intt0=0; display(); / 顯示 #include #define out P0 unsigned char seg310=0xeb,0x0a,0x67,0x6e,0x8e, 0xec,0xed,0x2a,0xef,0xee, /0-9 0xfb,0x1a,0x77,0x7e,0x9e,0xfc,0xfd,

26、0x3a,0xff,0xfe, /0-9 帶小 數(shù)點(diǎn)0xa5,0xaf,0x0a,0xc1; /FAILunsigned char buf4=2,0,0,9; unsigned char outseg4= 0x67,0xeb,0xeb,0xee;unsigned char stopp4=0x04,0x04,0x04,0x04;sbit P25=P23;sbit P26=P24;sbit P23=P25;sbit P24=P26;void delay(unsigned int i) /25us+(i-1)*9while(i-);/* 顯示 */void display(void) unsigne

27、d char i;for(i=0;i4;i+)if(i=0)P25=0; P26=1; P23=1; P24=1;if(i=1)P25=1; P26=0; P23=1; P24=1;if(i=2)P25=1; P26=1; P23=0; P24=1;if(i=3)P25=1; P26=1; P23=1; P24=0;out=outsegi;delay(200);#includesbit DQ = P34; /定義 1820 通信端口void delay_18B20(unsigned int i) /25us+(i-1)*9 while(i-);/*DS18B20 測(cè)溫度 */ 初始化函數(shù)Init_DS18B20

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論