計算機組成原理實驗_第1頁
計算機組成原理實驗_第2頁
計算機組成原理實驗_第3頁
計算機組成原理實驗_第4頁
計算機組成原理實驗_第5頁
已閱讀5頁,還剩17頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)

文檔簡介

1、實驗一:通用寄存器實驗一、實驗?zāi)康?. 熟悉通用寄存器的數(shù)據(jù)通路。2. 掌握通用寄存器的構(gòu)成和運用。二、實驗要求在掌握了AX、BX運算寄存器的讀寫操作后,繼續(xù)完成CX、DX通用寄存器的數(shù)據(jù)寫入與讀出。三、實驗原理實驗中所用的通用寄存器數(shù)據(jù)通路如下圖所示。由四片8位字長的74LS574組成CX(R1 R0)、DX(R3 R2)通用寄存器組。圖中X2 X1 X0定義輸出選通使能,SI、XP控制位為源選通選擇。RXW為寄存器數(shù)據(jù)寫入使能,OP、DI為目的寄存器選擇。DRCK信號為寄存器寫脈沖,下降沿有效。準(zhǔn)雙向I/O輸入輸出端口用于置數(shù)操作,經(jīng)2片74LS245三態(tài)門與數(shù)據(jù)總線相連。圖2-3-3通用

2、寄存器數(shù)據(jù)通路四、實驗內(nèi)容1. 實驗連線連線信號孔接入孔作用有效電平1DRCKCLOCK單元手動實驗狀態(tài)的時鐘來源下降沿打入2WK6(M6)總線字長:1=16位字操作,0=8位字節(jié)操作3X2K10(M10)源部件定義譯碼端X2三八譯碼八中選一4X1K9(M9)源部件定義譯碼端X15X0K8(M8)源部件定義譯碼端X06SIK19(M19)源寄存器編址:1=CX,0=DX,定義到M197XPK7(M7)源寄存器奇偶位:1=偶尋址,0=奇尋址8RXWK18(M18)寄存器寫使能,本例定義到M18位高電平有效9DIK17(K17)目標(biāo)寄存器編址:1=CX,0=DX,定義到M1710OPK16(M16

3、)目標(biāo)寄存器奇偶位:1=偶尋址,0=奇尋址2. 寄存器的讀寫操作 目的通路當(dāng)RXW=1時,由DI、OP編碼產(chǎn)生目的寄存器地址,詳見下表。表2.3.5通用寄存器“手動搭接”目的編碼目標(biāo)使能通用寄存器目的編址功能說明RXWDIOPWDRCK1111CX字寫1110CL(R0)偶字節(jié)寫110XCH(R1)奇字節(jié)寫1011DX字寫1010DL(R2)偶字節(jié)寫100XDH(R2)奇字節(jié)寫 CX、DX寄存器的寫入通過“I/O輸入輸出單元”向CX、DX分別置數(shù)1122h、3344h,操作步驟如下: 源通路當(dāng)X2 X1 X0=1 1 0時,由SI、XP編碼產(chǎn)生源寄存器,詳見下表。表2.3.6通用寄存器“手動搭

4、接”源編碼源使能通用寄存器源編址功能說明X2X1X0SIXPW110111CX字讀110CL(R0)偶字節(jié)讀10XCH(R1)奇字節(jié)讀011DX字讀010DL(R2)偶字節(jié)讀00XDH(R2)奇字節(jié)讀 CX、DX寄存器的讀出關(guān)閉CX、DX寫使能,令RXW(K18)=0,按下流程分別讀CX、DX。實驗二:準(zhǔn)雙向I/O口實驗一、實驗?zāi)康氖煜づc了解準(zhǔn)雙向I/O口的構(gòu)成原理。二、實驗要求掌握準(zhǔn)雙向I/O口的輸入輸出特性的運用。三、實驗原理Dais-CMX16+向用戶提供的是按準(zhǔn)雙向原理設(shè)計的十六位輸入/輸出I/O口,當(dāng)該位為“1”時才能用作輸入源,上電或復(fù)位(手動態(tài)按【返回】鍵),該十六位I/O口被置

5、位(即為“FFFFh”)。通常情況下,在用作輸入的時候就不能再有輸出定義。電路結(jié)構(gòu)如圖2-3-4所示。該口外接十六位二進制數(shù)據(jù)開關(guān),適用于外部數(shù)據(jù)的輸入,該口跨接十六個發(fā)光二極管,經(jīng)緩沖驅(qū)動四個七段顯示,能以二進制和十六進制兩種方式顯示I/O口的輸入輸出狀態(tài)。發(fā)光管在高電平“1”時發(fā)光點亮。圖2-3-4 準(zhǔn)雙向I/O電路實驗中所用的I/O口數(shù)據(jù)通路如圖2-3-5所示。I/O的輸入經(jīng)2片74LS245緩沖與數(shù)據(jù)總線相連,I/O口的輸出由2片74LS574鎖存后輸出,鎖存器的輸入端與數(shù)據(jù)總線相連。圖2-3-5 I/O口數(shù)據(jù)通路四、實驗內(nèi)容1. 實驗連線連線信號孔接入孔作用有效電平1IOCKCLOC

6、K單元手動實驗狀態(tài)的時鐘來源下降沿打入2WK6(M6)總線字長:1=16位字操作,0=8位字節(jié)操作3X2K10(M10)源部件定義譯碼端X2三八譯碼八中選一4X1K9(M9)源部件定義譯碼端X15X0K8(M8)源部件定義譯碼端X06XPK7(M7)源奇偶位:1=偶尋址,0=奇尋址7IOWK17(M17)I/O寫使能,本例定義到M17位高電平有效8OPK16(M16)目的奇偶位:1=偶尋址,0=奇尋址9RXWK19(M19)寄存器寫使能,本例定義到M19位高電平有效10DIK18(K18)目標(biāo)寄存器編址:1=CX,0=DX,定義到M182. 搭接方式I/O的尋址定義I/O口源編址I/O口目的編

7、址源編碼I/O編址注釋目的I/O編址注釋X2X1X0WXPIOWWOPIOCK01111IO字讀111IO字寫01IOL偶字節(jié)讀01IOL偶字節(jié)寫X0IOH奇字節(jié)讀X0IOH奇字節(jié)寫3. I/O口寫操作(輸出)執(zhí)行OUT I/O,AX 指令,把AX內(nèi)容送I/O口。4. I/O口讀操作(輸入)執(zhí)行IN CX,I/O指令,把I/O口內(nèi)容送CX。5. I/O口的字節(jié)操作(偶輸入,奇輸出)執(zhí)行OUT IOH,IOL 指令,把S7S0送S15S8。實驗三:十六位機運算器實驗一、實驗?zāi)康耐瓿伤阈g(shù)、邏輯、移位運算實驗,熟悉ALU運算控制位的運用。二、實驗原理實驗中所用的運算器數(shù)據(jù)通路如圖2-4-1所示。AL

8、U運算器由CPLD描述。運算器的輸出經(jīng)過2片74LS245三態(tài)門與數(shù)據(jù)總線相連,2個運算寄存器AX、BX的數(shù)據(jù)輸入端分別由4個74LS574鎖存器鎖存,鎖存器的輸入端與數(shù)據(jù)總線相連,準(zhǔn)雙向I/O輸入輸出端口用來給出參與運算的數(shù)據(jù),經(jīng)2片74LS245三態(tài)門與數(shù)據(jù)總線相連。圖2-4-1運算器數(shù)據(jù)通路圖中AX、BX的寫控制由O2O0編碼定義,通過按【單拍】鈕完成運算源的數(shù)據(jù)打入。三、運算器功能編碼表2.4.1 ALU運算器編碼表算術(shù)運算邏輯運算K15K13K12K11功能K15K13K12K11功能MS2S1S0MS2S1S01111A+B+C0111B1110ABC0110/A1101RLC01

9、01A-11100RRC0100A=01011A+B0011A#B1010AB0010A&B1001RL0001A+11010RR0000A四、設(shè)置初始狀態(tài)K23K0置“0”,滅M23M0控位顯示燈。示例1算術(shù)運算1. 字算術(shù)運算(1) 字寫操作(置數(shù)操作)通過“I/O單元”二進制開關(guān)向寄存器AX和BX置數(shù),操作步驟如下:(2) 字讀操作(運算寄存器AX和BX內(nèi)容送總線)(3) 字算術(shù)運算(不帶進位加)令M S2 S1 S0(K15 K13K11=1011),F(xiàn)UN及總線單元顯示AXBX的結(jié)果。令M S2 S1 S0(K15 K13K11=1010),F(xiàn)UN及總線單元顯示AXBX的結(jié)果

10、。2. 字節(jié)算術(shù)運算(1) 偶字節(jié)寫(置數(shù)操作)撥動“I/O輸入輸出單元”開關(guān)向寄存器AL和BL置數(shù),操作步驟如下:(2) 偶字節(jié)讀操作(運算寄存器AL和BL內(nèi)容送總線)(3) 偶字節(jié)減法運算(不帶進位加)令M S2 S1 S0(K15 K13K11=1011),F(xiàn)UN及總線單元顯示ALBL的結(jié)果。令M S2 S1 S0(K15 K13K11=1010),F(xiàn)UN及總線單元顯示ALBL的結(jié)果。示例2邏輯運算1. 字邏輯運算(1) 字寫操作(置數(shù)操作)撥動“I/O輸入輸出單元”開關(guān)向寄存器AX和BX置數(shù),操作步驟如下:(2) 字讀操作(運算寄存器AX和BX內(nèi)容送總線)(3) 字邏輯運算令M S2

11、S1 S0(K15 K13K11=0010),為邏輯與,F(xiàn)UN及總線顯示AX邏輯與BX的結(jié)果。令M S2 S1 S0(K15 K13K11=0011),為邏輯或,F(xiàn)UN及總線顯示AX邏輯或BX的結(jié)果。2. 字節(jié)邏輯運算(1) 偶字節(jié)寫操作(置數(shù)操作)撥動“I/O輸入輸出單元”開關(guān)向寄存器AL和BL置數(shù),具體操作步驟如下:(2) 偶字節(jié)讀操作(運算寄存器AL和BL內(nèi)容送數(shù)據(jù)總線) 若運算控制位設(shè)為(M S2 S1 S0=0000)則F=AL,即AL內(nèi)容送到數(shù)據(jù)總線。 若運算控制位設(shè)為(M S2 S1 S0=0111)則F=BL,即BL內(nèi)容送到數(shù)據(jù)總線。(3) 偶字節(jié)邏輯運算令M S2 S1 S0

12、(K15 K13K11=0010),為邏輯與,F(xiàn)UN及總線顯示AL邏輯與BL的結(jié)果。令M S2 S1 S0(K15 K13K11=0011),為邏輯或,F(xiàn)UN及總線顯示AL邏輯或BL的結(jié)果。(4) 奇字寫操作(置數(shù)操作)撥動“I/O輸入輸出單元”開關(guān)向寄存器AH和BH置數(shù),操作步驟如下:(5) 奇字節(jié)讀操作(運算寄存器AH和BH內(nèi)容送總線)關(guān)閉AH、BH寫使能,令K17=K18=1,按下流程分別讀AH、BH。 若運算控制位設(shè)為(M S2 S1 S0=0000)則F=AH,即AH內(nèi)容送到數(shù)據(jù)總線。 若運算控制位設(shè)為(M S2 S1 S0=0111)則F=BH,即BH內(nèi)容送到數(shù)據(jù)總線。(6) 奇字

13、節(jié)邏輯運算令M S2 S1 S0(K15 K13K11=0010),為邏輯與,F(xiàn)UN及總線顯示AH邏輯與BH的結(jié)果。令M S2 S1 S0(K15 K13K11=0011),為邏輯或,F(xiàn)UN及總線顯示AH邏輯或BH的結(jié)果。實驗思考驗證表2.4.2 ALU運算器編碼表所列的運算功能。在給定AX=6655h、BX=AA77h的情況下,改變運算器的功能設(shè)置,觀察運算器的輸出,填入下頁表格中,并和理論分析進行比較、驗證。表2.4.2ALU運算器真值表運算控制運算表達式K15K13K12K11AXBX運算結(jié)果MS2S1S0帶進位算術(shù)加A+B+C11116655AA77FUN=( 10CC )帶借位算術(shù)減

14、A-B-C11106655AA77FUN=( BBDE )帶進位左移RLC A11016655AA77FUN=( CCAA )帶進位右移RRC A1100FUN=( )算術(shù)加A+B1011FUN=( )算術(shù)減A-B1010FUN=( )左移RL A1001FUN=( )右移RR A1000FUN=( )取BX值B01116655AA77FUN=( AA77 )AX取反NOT A01106655AA77FUN=( 99AA )AX減1A-101016655AA77FUN=( 6654 )清零00100FUN=( )邏輯或A OR B0011FUN=( )邏輯與A AND B0010FUN=( )

15、AX加1A+10001FUN=( )取AX值A(chǔ)0000FUN=( )示例3移位運算本示例以累加器AX為移位的源與目的寄存器,也就是說移位是通過累加器AX實現(xiàn)的,這種規(guī)范的設(shè)計理念使我們的運算器能夠與通用計算機指令系統(tǒng)相吻合。1. 移位執(zhí)行過程所謂循環(huán)移位,就是指移位時數(shù)據(jù)的首尾相連進行移位,即最高(最低)位的移出位又移入數(shù)據(jù)的最低(最高)位。根據(jù)循環(huán)移位時進位位是否一起參加循環(huán),可將循環(huán)移位分為不帶進位循環(huán)和帶進位循環(huán)兩類。其中不帶進位循環(huán)是指進位“CY”的內(nèi)容不與數(shù)據(jù)部分一起循環(huán)移位,也稱小循環(huán)。帶進位循環(huán)是指進位 “CY”中的內(nèi)容與數(shù)據(jù)部分一起循環(huán)移位,也稱大循環(huán)。不帶進位循環(huán)左移:各位按

16、位左移,最高位移入最低位。不帶進位循環(huán)右移:各位按位右移,最低位移入最高位。帶進位循環(huán)左移:各位按位左移,最高位移入C中,C中內(nèi)容移入最低位。帶進位循環(huán)右:各位按位右移,最低位移入C中,C中內(nèi)容移入最高位。循環(huán)移位一般用于實現(xiàn)循環(huán)式控制、高低字節(jié)的互換,還可以用于實現(xiàn)多倍字長數(shù)據(jù)的算術(shù)移位或邏輯移位。2. 移位運算實例 K23K0全置“0”,滅M23M0燈。 累加器AX置數(shù)與移位流程 字移位:完成上流程,按下表改變S2(K13)、S0(K11)的狀態(tài),再按【單拍】鈕,觀察AX的移位變化。 字節(jié)移位:完成字移位后,改變字長寬度,令W(K6)=0,然后根據(jù)下表設(shè)置S2(K13)、S0(K11)的電

17、位,再按【單拍】鈕,AX進入字節(jié)移位狀態(tài),觀察AL的移位變化。表2.4. 3 移位編碼表K15K13K12K11功能MS2S1S01000RR1001RL1100RRC1101RLC示例4進位控制與零標(biāo)志1. 標(biāo)志控制原理圖2-4-2標(biāo)志位鎖存原理圖(1) 進位標(biāo)志CY運算標(biāo)志CY是帶復(fù)位可預(yù)置的進位標(biāo)志,在運算時由M信號控制,當(dāng)M=1時,按【單拍】按鈕,在T4上升沿把當(dāng)前運算溢出位(進位或借位)打入CY的鎖存輸出端Q2;當(dāng)M=0時,由CN位控制CY的“位操作”。當(dāng)CN=1,按【單拍】按鈕,在T4上升沿執(zhí)行CY的置位、清零、取反操作;遇M=0、CN=0時,CY保持原始狀態(tài)。CY的復(fù)位端由管理C

18、PU直接控制。(2) 零標(biāo)志Z零標(biāo)志Z是帶復(fù)位端的運算結(jié)果判零標(biāo)志,取源于運算器輸出FUN。當(dāng)運算輸出FUN為0時,zd為“1”,反之為“0”;零標(biāo)志由M信號控制,當(dāng)M=1時,按【單拍】按鈕,在T4上升沿把當(dāng)前zd打入Z(零標(biāo)志)的鎖存輸出端Q1;當(dāng)M=0時,零標(biāo)志Z保持原始狀態(tài)。(3) 標(biāo)志位的初始化標(biāo)志位的清零端由系統(tǒng)掌控與控位無關(guān),在手動實驗中需要清標(biāo)志時,通過按動【返回】鍵來實現(xiàn)標(biāo)志位的初始化。系統(tǒng)提供CY與Z的狀態(tài)燈,其顯示特征為:進位標(biāo)志CY=“1”時,燈“亮”反之燈“滅”;零標(biāo)志Z=“1”時,燈“亮”反之燈“滅”。2. 進位控制實驗 進位控制流程 進位控制實驗置位操作:當(dāng)M、CN

19、、XP、W=0100時,按【單拍】按鈕,CY=1(燈亮);清零操作:當(dāng)M、CN、XP、W=0110時,按【單拍】按鈕,CY=0(燈滅);取反操作:當(dāng)M、CN、W=100時,每按一次【單拍】按鈕,CY=/CY(取反)。3. 進位運算實驗 按【返回】鍵,實現(xiàn)進位及零標(biāo)志清零。通過“I/O單元”向AX、BX置數(shù)。 進位標(biāo)志鎖存K23K0置全“0”,關(guān)閉M23M0控位燈。令X0、W=11,運算控位M、S2、S1、S0 =1111,按【單拍】按鈕,F(xiàn)UN顯示帶進位加結(jié)果,進位標(biāo)志燈CY亮,表示有進位。完成上流程,按【單拍】鈕,ALU執(zhí)行ABCY的操作,F(xiàn)UN=0002、CY=1(燈亮)。令S0為0,按【

20、單拍】鈕,ALU執(zhí)行ABCY的操作,F(xiàn)UN=FFFB、CY=0(燈滅)。1. 零標(biāo)志實驗 K23K0置全“0”,通過“I/O單元”向AX、BX均置數(shù)0001h,操作步驟如下: 零標(biāo)志鎖存K23K0置全“0”,滅M23M0控位燈。令X0=1,運算控位M、S1=11,按【單拍】按鈕,F(xiàn)UN顯示算術(shù)減結(jié)果,其零標(biāo)志Z的燈亮,表示運算結(jié)果為零。2. 標(biāo)志位的字節(jié)運算令W(K6)=“0”,參照進位與零標(biāo)志運算流程,ALU按字節(jié)方式建立和鎖存CY及Z標(biāo)志。實驗四:十六位數(shù)據(jù)總線實驗一、實驗?zāi)繒A1. 熟悉和了解總線的數(shù)據(jù)通路、雙向互遞原理及尋址方式與運用規(guī)則。2. 掌握十六位數(shù)據(jù)總線中“字”與“字節(jié)”操作方

21、法及源與目的奇偶效應(yīng)。二、實驗要求通過總線的數(shù)據(jù)傳遞實驗,建立“奇偶”概念,領(lǐng)會字尋址中對字節(jié)操作的動態(tài)定義。三、實驗原理系統(tǒng)數(shù)據(jù)總線作為計算機傳遞信息的通道是連接各個功能部件的紐帶,在計算機中起著至關(guān)重要的作用。模型機的工作過程就是計算機各個功能部件之間的信息,通過數(shù)據(jù)總線不斷有序流動的過程。圖2-3-8 系統(tǒng)體系結(jié)構(gòu)圖1. 字與字節(jié)體系本系統(tǒng)總線寬度為十六位,設(shè)有字長控位“W”,當(dāng)W=1,由源尋址的奇偶性決定當(dāng)前總線寬度,遇源址為偶時其字長寬度為十六位;當(dāng)源址為奇或W=0時,字長寬度為八位,形成圖2-3-9所示的奇(八位)與偶(八位)互通的字節(jié)總線。圖2-3-9 奇偶互通字節(jié)總線體系結(jié)構(gòu)圖

22、2. 源奇偶的運用圖2-3-9所示,我們按原理計算機的設(shè)計規(guī)范,以字節(jié)為基準(zhǔn)把十六位數(shù)據(jù)總線劃分奇與偶倆路八位總線,其中“D15D8”稱為“奇總線”,“D7D0”稱為“偶總線”;在字節(jié)傳遞中由于總線的互通,形成“奇送偶”或“偶送奇”的八位字節(jié)總線,其使能端定義為低電平選通,邏輯表達式為: G(偶字節(jié))= !W(字長)# XP(源奇偶) G(奇字節(jié))= !XP(源奇偶)從上倆式可知,圖2-3-9所示的奇偶總線由字長“W”和源奇偶“XP”動態(tài)呈現(xiàn)以下三狀態(tài):1 在W=1時遇XP=1,由于G(偶字節(jié))與G(奇字節(jié))處隔離態(tài)“1”,形成“D15D0”十六位字總線源。2 在W=0時遇XP=1,由于G(偶

23、字節(jié))為“0”,G(奇字節(jié))“1”,形成“偶送奇的八位字節(jié)總線源。3 在XP=0時,由于G(奇字節(jié))為“0”,G(偶字節(jié))為“1”,無條件形成“奇送偶”的八位字節(jié)總線源。3. 目的奇偶的運用在目的尋址中亦由字長控位“W”與目的地址的奇偶性動態(tài)定義當(dāng)前目的字長。在W=0又遇目的址為偶時,其目的傳遞為字操作,否則均為字節(jié)傳遞,其邏輯表達式為: !O(偶字節(jié))= !OP(目的奇偶) !O(奇字節(jié))= !W(字長)# OP(目的奇偶)上述倆式表明,由字長“W”和目的址奇偶“OP”動態(tài)產(chǎn)生以下三種目的尋址操作1 在W=1時遇OP=1,由于O(偶字節(jié))與O(奇字節(jié))均為“0”,執(zhí)行以當(dāng)前目的偶址為目標(biāo)的字

24、傳遞。2 在W=0時遇OP=1,由于O(偶字節(jié))=“0”、O(奇字節(jié))=“1”,執(zhí)行以當(dāng)前目的偶址為目標(biāo)的字節(jié)傳遞。3 在OP=0時,由于O(奇字節(jié))=“0”、O(偶字節(jié))=“1”,無條件執(zhí)行以當(dāng)前目的奇址為目標(biāo)的字節(jié)傳遞。4. 數(shù)據(jù)傳遞規(guī)則系統(tǒng)在十六位原理計算機的字操作中動態(tài)地融入了字節(jié)操作的過程,其源奇偶映射總線寬度,而目的奇偶則制約傳遞長度。系統(tǒng)在十六位原理計算機的字節(jié)操作中運用總線互聯(lián)機制,以源址的奇偶性形成“奇遞偶”或“偶遞奇”兩者互通的八位字節(jié)總線。表2.3.7十六位總線傳遞規(guī)則總線規(guī)則功能說明WXPOP111字傳遞(十六位傳遞)011偶送偶(低位送低位)010偶送奇(低位送高位)

25、X01奇送偶(高位送低位)X00奇送奇(高位送高位)說明:上表中“XP”與“OP”僅為原理計算機特定的專用寄存器奇偶標(biāo)志,適用于AX、BX、SP及I/O的尋址場合;在存儲器尋址中應(yīng)以地址線“A0”為奇偶;在通用寄存器尋址中應(yīng)從指令格式中所定義的“源與目的”字段動態(tài)索取奇偶標(biāo)志。四、數(shù)據(jù)傳遞實驗1. 實驗連線連線信號孔接入孔作用有效電平1DRCKCLOCK單元手動實驗狀態(tài)的時鐘來源下降沿打入2WK6(M6)總線字長:1=16位字操作,0=8位字節(jié)操作3XPK7(M7)源部件奇偶標(biāo)志:1=偶尋址,0=奇尋址4X2K10(M10)源部件定義譯碼端X2三八譯碼八中選一5X1K9(M9)源部件定義譯碼端

26、X16X0K8(M8)源部件定義譯碼端X07OPK16(M16)目標(biāo)部件奇偶標(biāo)志:1=偶尋址,0=奇尋址8AXWK17(M17)AX運算寄存器寫使能,本例定義到M17位高電平有效2. 十六位數(shù)據(jù)傳送(字傳遞)設(shè)置數(shù)據(jù)來源為I/O單元(X2 X1 X0=011),總線規(guī)則設(shè)為字傳遞(W XP OP=111),打開AX寫使能(AXW(M17)=1),撥動“I/O輸入輸出單元”十六位數(shù)據(jù)開關(guān),按【單拍】按鈕,將I/O單元內(nèi)容通過數(shù)據(jù)總線傳遞到AX寄存器,操作步驟如下:3. 低位到低位(偶送偶)設(shè)置數(shù)據(jù)來源為I/O單元(X2 X1 X0=011),總線規(guī)則設(shè)為偶送偶(W XP OP=011),打開AX

27、寫使能(AXW(M17)=1),撥動“I/O輸入輸出單元”十六位數(shù)據(jù)開關(guān),按【單拍】按鈕,將I/O偶單元內(nèi)容通過數(shù)據(jù)總線傳遞到AL寄存器,操作步驟如下:4. 低位到高位(偶送奇)設(shè)置數(shù)據(jù)來源為I/O單元(X2 X1 X0=011),總線規(guī)則設(shè)為偶送奇(W XP OP=010),打開AX寫使能(AXW(M17)=1),撥動“I/O輸入輸出單元”十六位數(shù)據(jù)開關(guān),按【單拍】按鈕,將I/O偶單元內(nèi)容通過數(shù)據(jù)總線傳遞到AH寄存器,操作步驟如下:5. 高位到低位(奇送偶)設(shè)置數(shù)據(jù)來源為I/O單元(X2 X1 X0=011),總線規(guī)則設(shè)為奇送偶(W XP OP=X01),打開AX寫使能(AXW(M17)=1

28、),撥動“I/O輸入輸出單元”十六位數(shù)據(jù)開關(guān),按【單拍】按鈕,將I/O奇單元內(nèi)容通過數(shù)據(jù)總線傳遞到AL寄存器,操作步驟如下:6. 高位到高位(奇送奇)設(shè)置數(shù)據(jù)來源為I/O單元(X2 X1 X0=011),總線規(guī)則設(shè)為奇送奇(W XP OP=X00),打開AX寫使能(AXW(M17)=1),撥動“I/O輸入輸出單元”十六位數(shù)據(jù)開關(guān),按【單拍】按鈕,將I/O奇單元內(nèi)容通過數(shù)據(jù)總線傳遞到AH寄存器,操作步驟如下:實驗五:地址總線組成實驗一、實驗?zāi)繒A1. 熟悉和了解地址總線的組成結(jié)構(gòu)、地址來源及集合原理。2. 掌握程序段與數(shù)據(jù)段的尋址規(guī)則及地址部件的運用技巧。二、實驗要求通過地址形成部件實驗,建立“段

29、”概念,學(xué)會“段”運用。三、實驗原理地址總線的作用是傳遞地址信息,輸出當(dāng)前數(shù)據(jù)總線上發(fā)送信息的源地址或接收信息的目的地址。如下圖所示本系統(tǒng)設(shè)有內(nèi)存與外設(shè)兩條地址總線,通過PC計數(shù)器提供內(nèi)存(程序存儲器)地址,并由地址寄存器AR傳遞內(nèi)存(數(shù)據(jù)存儲器)地址與外設(shè)地址。另外堆棧寄存器SP亦可視為地址寄存器,它的堆頂指向數(shù)據(jù)與程序指針存取地址。圖2-3-6地址總線組成通路1. 11位程序地址如圖2-3-6所示,本系統(tǒng)從提高信息存取效率的角度設(shè)計主內(nèi)存地址通路,按現(xiàn)代計算機體系結(jié)構(gòu)中最為典型的分段存取理念合成主存及外設(shè)地址總線addr,在指令操作“時段”(取操作碼與取操作數(shù)),以當(dāng)前程序指針PC為址,遇

30、主存數(shù)據(jù)傳遞“時段”以當(dāng)前數(shù)據(jù)指針AR為址。addr地址的合成通路見圖2-3-6。其尋址范圍為07FFh。2. 16位數(shù)據(jù)地址如圖2-3-6所示,本系統(tǒng)數(shù)據(jù)指針由地址鎖存器AR直接提供,當(dāng)LDAR=1時,在DRCK下降沿把數(shù)據(jù)總線打入AR。其尋址范圍為0FFFFh,可達64KB。四、地址部件電路圖2-3-7地址部件控制電路五、實驗內(nèi)容1. 程序計數(shù)器實驗表2.3.7PC程序計數(shù)器目標(biāo)編碼目標(biāo)部件定義按鈕功能說明E/MIPDRCKDRCK下降沿打入00XPC保持01PC加111PC裝載說明:“”表示下降沿有效圖2-3-7所示的PC框由3片161構(gòu)成按字方式尋址的11位PC計數(shù)器,計數(shù)器的輸入端與

31、總線相連構(gòu)成置數(shù)通路,計數(shù)器的輸出端途經(jīng)三態(tài)門緩沖分離為兩條通路,其一與總線相連構(gòu)成可讀通路,其二與地址寄存器(數(shù)據(jù))集合組成主存EM地址總線。它的清零端由中央外理器單元直控,上電時PC計數(shù)器自動淸零,實驗中按復(fù)位鈕亦可實現(xiàn)計數(shù)器的手動淸零。手控狀態(tài),本實驗由表2.6.1定義的目的編碼控制PC計數(shù)器的預(yù)置與加1操作,并以準(zhǔn)雙向I/O部件的S10S0為計數(shù)器預(yù)置源。當(dāng)IP=1時按單拍按鈕,遇E/M=1在脈沖下降沿把S10S0的內(nèi)容裝入PC計數(shù)器;遇E/M=0在脈沖下降沿PC計數(shù)器加1。PC計數(shù)器的讀出操作由表2.3.7所列的源編碼表定義。1)實驗連線連線信號孔接入孔作用有效電平1DRCKCLOC

32、K單元手動實驗狀態(tài)的時鐘來源下降沿打入2WK6(M6)總線字長:1=16位字操作,0=8位字節(jié)操作3XPK7(M7)源奇偶位:1=偶尋址,0=奇尋址4OPK16(M16)目的奇偶位:1=偶尋址,0=奇尋址5X2K10(M10)源部件定義譯碼端X2三八譯碼八中選一6X1K9(M9)源部件定義譯碼端X17X0K8(M8)源部件定義譯碼端X08LDPCK22(M22)PC程序計數(shù)器刷新位高電平有效9E/MK23(M23)當(dāng)IP有效時,E/M:1=PC裝載,0=PC加110SPWK20(M20)SP堆棧指針寫使能高電平有效11LDARK19(M19)AR地址寄存器寫使能高電平有效2)程序計數(shù)器PC的寫

33、入、讀出與加1(1) PC程序計數(shù)器的寫入 通過“I/O單元”開關(guān)向程序計數(shù)器PC置數(shù),操作步驟如下:(2) PC程序計數(shù)器的讀出在PC置數(shù)操作完成后,按上流程中后兩步的要求,關(guān)閉PC寫使能(K23、K22=00),打開PC輸出三態(tài)門(K10K6=11111),數(shù)據(jù)總線單元應(yīng)顯示的PC指針為0100h。(3) PC程序計數(shù)器加1在保持PC置數(shù)與讀出流程的狀態(tài)下,令K22=1,按【單拍】按鈕,在DRCK節(jié)拍的下降沿PC計數(shù)器加1并送數(shù)據(jù)總線,PC程序計數(shù)器和數(shù)據(jù)總線單元的顯示器應(yīng)顯示0101h。繼續(xù)按【單拍】按鈕,觀察PC與數(shù)據(jù)總線的內(nèi)容。2、地址寄存器實驗圖2-3-7所示的AR框由2片74LS

34、574鎖存器構(gòu)成按字方式尋址的16位數(shù)據(jù)指針,鎖存器的輸入端與總線相連構(gòu)成置數(shù)通路,鎖存器的輸出端途經(jīng)三態(tài)門緩沖分離與PC計數(shù)器集合組成主存地址總線。它的清零端由中央外理器單元直控,上電時鎖存器自動淸零,手動實驗中按【返回】鍵亦可實現(xiàn)鎖存器的手動淸零。按通用計算機設(shè)計規(guī)范的要求,把數(shù)據(jù)指針AR定義為字寫入寄存器,運用中局限于字寫,字節(jié)寫會引發(fā)數(shù)據(jù)指針的錯誤侵入,因此在數(shù)據(jù)指針AR的操作過程中并非不支持而是不允字節(jié)寫。地址寄存器AR打入在手控/搭接態(tài),數(shù)據(jù)指針AR由W、LDAR及DRCK(CP脈沖)三信號組合控制地址的置數(shù)操作。本實驗以總線上準(zhǔn)雙向I/O部件的S15S0為置數(shù)源。當(dāng)W=1、LDA

35、R=1時按【單拍】鈕,在脈沖下降沿把S15S0的內(nèi)容裝入地址鎖存器AR。操作步驟如下:3、堆棧寄存器實驗圖2-3-7所示的SP框由2片74LS574鎖存器構(gòu)成16位堆棧指針,鎖存器的輸入端與總線相連構(gòu)成存數(shù)通路,鎖存器的輸出端途經(jīng)三態(tài)門隔離與總線相連構(gòu)成取數(shù)通路。它按先進后出的原則存放需要保留的數(shù)據(jù)信息與地址信息,在調(diào)用中斷等突發(fā)事件處理中SP指針以間址方式把當(dāng)前程序指針存入SP-2單元,遇返回指令SP又把棧項所指單元的內(nèi)容裝入程序計數(shù)器,然后SP+2退至原始位置。在手控/搭接態(tài),堆棧指針SP由W、SPW及DRCK(CP脈沖)三信號組合控制棧指針的置數(shù)操作。本實驗以總線上準(zhǔn)雙向I/O部件的S1

36、5S0為置數(shù)源。當(dāng)W=1、SPW=1時按單柏鈕,在脈沖下降沿把S15S0的內(nèi)容裝入SP。堆棧指針SP的讀出操作由P8頁表2.2所列的源編碼表定義。1)堆棧指針SP打入撥動“I/O輸入輸出單元”開關(guān)向堆棧指針SP置數(shù),具體操作步驟如下:2)堆棧指針SP讀出關(guān)閉SP寫使能,令SPW=1 按下流程完成SP送總線。實驗六:存儲器讀寫實驗一、實驗?zāi)康氖煜ず土私獯鎯ζ鹘M織與總線組成的數(shù)據(jù)通路。二、實驗要求按照實驗步驟完成實驗項目,掌握存儲部件在原理計算機中的運用。三、實驗原理存儲器是計算機的存儲部件,用于存放程序和數(shù)據(jù)。存儲器是計算機信息存儲的核心,是計算機必不可少的部件之一,計算機就是按存放在存儲器中的程序自動有序不間斷地進行工作。本系統(tǒng)從提高存儲器存儲信息效率的角度設(shè)計數(shù)據(jù)通路,按現(xiàn)代計算機中最為典型的分段存儲理念把存儲器組織劃分為程序段、數(shù)據(jù)段等,由此派生了數(shù)據(jù)總線(DBUS)、指令總線(IBUS)、微總線(mBUS)等與現(xiàn)代計算機設(shè)計規(guī)范相吻合的實驗環(huán)境。實驗所用的存儲器電路原理如圖2-3-10所示,該存儲器組織由二片6116構(gòu)成具有奇偶概念的十六位信息存儲體系,該存

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論