




版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡介
1、通信原理課程設(shè)計(jì)說明書 基于VHDL語言的2ASK調(diào)制系統(tǒng) 學(xué) 院 : 電氣與信息工程學(xué)院 學(xué)生姓名: 代雪晶 指導(dǎo)教師: 劉海波 職稱 副教授 專 業(yè): 通信工程 班 級(jí): 通信1302班 完成時(shí)間: 2016年6月 摘 要 現(xiàn)代通信系統(tǒng)的發(fā)展隨著VHDL等設(shè)計(jì)語言的出現(xiàn)進(jìn)入了一個(gè)新的階段,普通的傳輸系統(tǒng)設(shè)計(jì)方法已經(jīng)不能滿足使用需求。由于大多數(shù)信號(hào)都是帶通型的,所以必須先用數(shù)字基帶信號(hào)對(duì)載波進(jìn)行調(diào)節(jié),形成數(shù)字調(diào)制信號(hào)再進(jìn)行傳輸。因而,調(diào)制技術(shù)是實(shí)現(xiàn)現(xiàn)代通信的重要手段。2ASK信號(hào)是數(shù)字調(diào)制方式中最早出現(xiàn)的,也是最簡單的,但其抗噪聲性能較差,因此實(shí)際應(yīng)用并不廣泛,但經(jīng)常作為研究其它數(shù)字調(diào)制方式
2、的基礎(chǔ)。 設(shè)計(jì)在研究2ASK傳輸系統(tǒng)的基礎(chǔ)上,基于VHDL語言設(shè)計(jì)了它的調(diào)制解調(diào)仿真實(shí)現(xiàn)方案。首先確定了2ASK傳輸系統(tǒng)的仿真方案。其次編寫了方案所需的程序,包括基帶信號(hào)的產(chǎn)生,調(diào)制及解調(diào)實(shí)現(xiàn)功能。最后,對(duì)整個(gè)系統(tǒng)進(jìn)行仿真,對(duì)結(jié)果中的錯(cuò)誤進(jìn)行分析并改進(jìn)方案。 關(guān)鍵詞:2ASK;調(diào)制;解調(diào);VHDL語言目 錄1 緒論11.1 課題的研究背景11.2 課題研究的目的和意義21.3 論文的內(nèi)容安排22 方案的設(shè)計(jì)32.1 方案設(shè)計(jì)的原理3 2.1.1 2ASK調(diào)制原理3 2.1.2 2ASK解調(diào)原理 52.2 整體方案設(shè)計(jì)72.3 本章小結(jié)73 2ASK調(diào)制系統(tǒng)的軟件仿真83.1 2ASK調(diào)制系統(tǒng)仿
3、真建模83.2 調(diào)制程序83.3 2ASK調(diào)制系統(tǒng)仿真93.4 仿真結(jié)果調(diào)試及分析103.5 本章小結(jié)114 2ASK解調(diào)系統(tǒng)軟件仿真124.1 2ASK解調(diào)系統(tǒng)仿真建模124.2 解調(diào)程序124.3 2ASK解調(diào)系統(tǒng)仿真134.4 仿真結(jié)果調(diào)試及分析144.5 本章小結(jié)15 5 2ASK調(diào)制與解調(diào)系統(tǒng)綜合仿真165.1 基帶信號(hào)的輸入16 5.1.1 m序列簡介16 5.1.2 m序列的實(shí)現(xiàn)175.2 綜合仿真185.3 仿真結(jié)果調(diào)試及分析195.4 本章小結(jié)22結(jié)束語23參考文獻(xiàn)24致 謝25附錄 程序清單261 緒論1.1 課題的研究背景現(xiàn)代通信系統(tǒng)是時(shí)代生命線,現(xiàn)代通信已經(jīng)不再是單一的
4、電話網(wǎng)或電報(bào)文字通信網(wǎng),而是一個(gè)綜合的為多種信息服務(wù)的通信網(wǎng)。為適應(yīng)世界性的政治與經(jīng)濟(jì)活動(dòng)的需要,人類已經(jīng)迅速建立起世界性的全球通信網(wǎng)。通信網(wǎng)已經(jīng)成為支撐現(xiàn)代經(jīng)濟(jì)的最重要的基礎(chǔ)結(jié)構(gòu)之一。改革開放以來,我國的通信建設(shè)有了迅速的發(fā)展,但與一些發(fā)達(dá)國家相比還是比較落后。隨著時(shí)代的發(fā)展,用戶不再滿足于聽到聲音,而且還要看到圖像,通信終端也不局限于單一的電話機(jī),而且還有傳真機(jī)和計(jì)算機(jī)等數(shù)據(jù)終端?,F(xiàn)有的傳輸媒介電纜,微波中繼和衛(wèi)星通信等將更多地采用數(shù)字傳輸。傳遞信息所需的一切技術(shù)設(shè)備的總和稱為通信系統(tǒng)。圖1為通信系統(tǒng)的一般模型。 信息源發(fā)送設(shè)備傳輸媒介接收設(shè)備收信者干擾 圖1 通信系統(tǒng)的一般模型圖1中,信
5、息源可以通過輸出信號(hào)的性質(zhì)不同分為模擬信源和數(shù)字信源,由于信息源產(chǎn)生信息的種類和速率不同,因而對(duì)傳輸系統(tǒng)的要求也各不同。發(fā)送設(shè)備的基本功能是將信源和傳輸媒介匹配起來,即將信源產(chǎn)生的信息信號(hào)變換為便于傳輸?shù)男盘?hào)形式,送往傳輸媒介,調(diào)制是最常見的變換方式。發(fā)送設(shè)備還包括為達(dá)到某種特殊要求所進(jìn)行的各種處理,如多路復(fù)用、保密處理、糾錯(cuò)編碼處理等。傳輸媒介是從發(fā)送設(shè)備到接收設(shè)備之間信號(hào)傳遞所經(jīng)過的媒介,它可以是無線的,也可以是有線的。在實(shí)際的傳輸過程中必然會(huì)引入干擾,如熱噪聲、衰落、脈沖干擾等。接收設(shè)備的基本功能是完成發(fā)送設(shè)備的反變換,即進(jìn)行解調(diào)、譯碼、解密等。它的任務(wù)是從帶有干擾的信號(hào)中正確恢復(fù)出原始
6、信息來。通信系統(tǒng)的發(fā)展日新月異。20世紀(jì)末,EDA(Electronic Design Automation電子設(shè)計(jì)自動(dòng)化)技術(shù)獲得了飛速的發(fā)展。它的核心已日趨轉(zhuǎn)向基于計(jì)算機(jī)的電子設(shè)計(jì)自動(dòng)化技術(shù)。采用EDA技術(shù)來設(shè)計(jì)并測試通信系統(tǒng),能有效地縮小了系統(tǒng)的體積,降低了成本,增加了可靠性。EDA技術(shù)中的VHDL語言使得設(shè)計(jì)具有良好的可移植性及產(chǎn)品升級(jí)的系統(tǒng)性。1.2 課題研究的目的和意義課題是基于VHDL語言設(shè)計(jì)一個(gè)2ASK傳輸系統(tǒng)。通過課題理解掌握課題涉及的相關(guān)內(nèi)容,熟練使用相關(guān)開發(fā)工具軟件,掌握基本的有線傳輸系統(tǒng)的構(gòu)成,熟悉數(shù)字信號(hào)載波傳輸?shù)幕痉椒?,掌握基本的硬件電路調(diào)試方
7、法。通過課題,可以了解到模擬化和數(shù)字化的區(qū)別。 模擬調(diào)制就是用模擬信號(hào)調(diào)制載波,數(shù)字調(diào)制就是用數(shù)字信號(hào)調(diào)制載波。采用數(shù)字化的好處很多,最明顯的是抗干擾性能得到加強(qiáng),容易加密等。數(shù)字信號(hào)傳輸和模擬信號(hào)傳輸是不一樣的,模擬信號(hào)一般通過高頻調(diào)制以后就可以通過線路進(jìn)行傳輸,接收端對(duì)輸入信號(hào)進(jìn)行解調(diào)后,就可以輸出模擬信號(hào);而數(shù)字信號(hào)傳輸就不同了,數(shù)字信號(hào)不但需要調(diào)制,調(diào)制之前還要進(jìn)行編碼,接收端對(duì)輸入信號(hào)首先進(jìn)行解調(diào),然后再解碼。1.3 論文的內(nèi)容安排 論文的第1章介紹了課題研究的背景,目的及意義。第2章介紹了方案的設(shè)計(jì),2ASK調(diào)制及解調(diào)原理。第3章,第4章講述了2ASK的調(diào)制和解調(diào)的軟件仿真。第5章
8、介紹2ASK調(diào)制系統(tǒng)的綜合仿真。2 方案的設(shè)計(jì)2.1 方案設(shè)計(jì)的原理2.1.1 2ASK調(diào)制的原理 幅度鍵控2ASK(Amplitude-shift keying)技術(shù)是一種數(shù)字調(diào)制技術(shù),它是連續(xù)波調(diào)制。2ASK指的是振幅鍵控方式。其調(diào)制方式是根據(jù)信號(hào)的不同,調(diào)節(jié)正弦波的幅度。2ASK技術(shù)主要是用在數(shù)據(jù)傳輸方面,它的優(yōu)點(diǎn)是有效性好,隨著輸入信噪比的增加,系統(tǒng)的誤碼率將更迅速地按指數(shù)規(guī)律下降。幅度鍵控的調(diào)制可以通過乘法器和開關(guān)電路來實(shí)現(xiàn)。載波在數(shù)字信號(hào)1或0的控制下通或斷,在信號(hào)為1的狀態(tài)載波接通,此時(shí)傳輸信道上有載波出現(xiàn);在信號(hào)為0的狀態(tài)下,載波被關(guān)斷,此時(shí)傳輸信道上無載波傳送。那么在接收端就
9、可以根據(jù)載波的有無還原出數(shù)字信號(hào)的1和0。對(duì)于二進(jìn)制幅度鍵控信號(hào)的頻帶寬度為二進(jìn)制基帶信號(hào)寬度的兩倍。幅移鍵控法(ASK)的載波幅度是隨著調(diào)制信號(hào)而變化的,其最簡單的形式是,載波在二進(jìn)制調(diào)制信號(hào)控制下通斷, 此時(shí)又可稱作開關(guān)鍵控法(OOK)。 在振幅鍵控中載波幅度是隨著基帶信號(hào)的變化而變化的。使載波在二進(jìn)制基帶信號(hào)1或0的控制下通或斷,即用載波幅度的有或無來代表信號(hào)中的“1”或“0”,這樣就可以得到2ASK信號(hào),二進(jìn)制振幅鍵控方式稱為通斷鍵控(OOK)。2ASK信號(hào)典型的時(shí)域波形如圖2所示。圖2 2ASK信號(hào)的典型時(shí)域波形 2ASK信號(hào)的時(shí)域數(shù)學(xué)表達(dá)式為: (1)式中,A 為未調(diào)載波幅度, 為
10、載波角頻率,為符合下列關(guān)系的二進(jìn)制序列的第n 個(gè)碼元: =0 出現(xiàn)概率為P (2) =1 出現(xiàn)概率為1-P (3) 由式(1),式(2),式(3)可知,令A(yù)1,則2ASK 信號(hào)的一般時(shí)域表達(dá)式為: (4) 式中,Ts為碼元間隔,g(t)為持續(xù)時(shí)間 /2,/2 內(nèi)任意波形形狀的脈沖(分析時(shí)一般設(shè)為歸一化矩形脈沖),而S(t) 就是代表二進(jìn)制信息的隨機(jī)單極性脈沖序列。為了更深入掌握2ASK 信號(hào)的性質(zhì),除時(shí)域分析外,還應(yīng)進(jìn)行頻域分析。由于二進(jìn)制序列一般為隨機(jī)序列,其頻域分析的對(duì)象應(yīng)為信號(hào)功率譜密度。設(shè)g(t) 為歸一化矩形脈沖,若g(t) 的傅氏變換為G(f) ,S(t) 則為二進(jìn)制隨機(jī)單極性矩形
11、脈沖序列,且任意碼元為0 的概率為P,則S(t) 的功率譜密度表達(dá)式為: (5)式中,與二進(jìn)制序列的碼元速率Rs在數(shù)值上相等??梢钥闯?,單極性矩形脈沖隨機(jī)序列含有直流分量。2ASK信號(hào)的雙邊功率譜密度表達(dá)式為: (6) 由式(6)可知,2ASK 信號(hào)的功率譜密度由兩個(gè)部分組成:(1)由g(t) 經(jīng)線性幅度調(diào)制所形成的雙邊帶連續(xù)譜;(2)由被調(diào)載波分量確定的載頻離散譜。對(duì)信號(hào)進(jìn)行頻域分析的主要目的之一就是確定信號(hào)的帶寬。最常用和最簡單的帶寬定義是以功率譜主瓣寬度為度量的“譜零點(diǎn)帶寬”,這種帶寬定義特別適用于功率譜主瓣包含信號(hào)大部分功率的信號(hào)顯然,2ASK 信號(hào)的譜零點(diǎn)帶寬為: (7) 式中,Rs
12、 為二進(jìn)制序列的碼元速率。 2ASK 信號(hào)的產(chǎn)生方法比較簡單。首先,因2ASK 信號(hào)的特征是對(duì)載波的“通斷鍵控”,用一個(gè)模擬開關(guān)作為調(diào)制載波的輸出通/斷控制門,由二進(jìn)制序列S(t) 控制門的通斷,S(t) 1 時(shí)開關(guān)導(dǎo)通;S(t)0 時(shí)開關(guān)截止,這種調(diào)制方式稱為通斷鍵控法。其次,2ASK 信號(hào)可視為S(t)與載波的乘積,故用模擬乘法器實(shí)現(xiàn)2ASK 調(diào)制也是很容易想到的另一種方式,稱其為乘積法。在這里,采用的是通斷鍵控法,2ASK 調(diào)制的基帶信號(hào)和載波信號(hào)分別從“2ASK 基帶輸入”和“2ASK 載波輸入”輸入。2.1.2 2ASK解調(diào)的原理 2ASK的調(diào)制有兩種實(shí)現(xiàn)方法:乘法器實(shí)現(xiàn)法和鍵控法。
13、 乘法器實(shí)現(xiàn)法如圖3所示。乘法器常采用環(huán)行調(diào)制器,S(t)是調(diào)制信號(hào),是載波信號(hào)。兩個(gè)信號(hào)經(jīng)過乘法器頻譜搬移后,輸出信號(hào)經(jīng)過帶通濾波器濾除高頻諧波和低頻干擾,完成調(diào)制。u(t)帶通濾波器S(t)coswcte (t)圖3 乘法器實(shí)現(xiàn)法鍵控法如圖4所示。二進(jìn)制ASK又稱為通斷控制(OOK),是用一個(gè)電開關(guān)來控制載波振蕩器的輸出,這里的開關(guān)電路受控制。當(dāng)發(fā)0碼時(shí),控制開關(guān)關(guān)閉;當(dāng)發(fā)1碼時(shí),控制開關(guān)打開,載波傳輸。這樣就很簡單的實(shí)現(xiàn)了ASK的調(diào)制。 開關(guān)載波SASK(t)S(t)圖4 鍵控法2ASK 解調(diào)有非相干解調(diào)(包絡(luò)檢波法)和相干解調(diào)(同步檢測法)兩種方法,它們的共同原理圖如圖5所示。有源帶通
14、濾波、放大、整形分頻器 判 決電 路圖5 2ASK解調(diào)原理框圖包絡(luò)檢波法如圖6所示。包絡(luò)檢波法是一種非相干解調(diào),信號(hào)經(jīng)過帶通濾波器抑制來自信道的帶外干擾,然后進(jìn)行解調(diào)和抽樣判決。采用包絡(luò)檢波法的接收系統(tǒng)通常工作在大噪比的情況下,最佳門限值為接收信號(hào)包絡(luò)值的一半,也就是說對(duì)于2ASK的包絡(luò)檢波法來說,最佳門限值和接收信號(hào)的包絡(luò)值有關(guān),這個(gè)特性使得2ASK不適用于變參信道。帶 通 濾 波 器包絡(luò)檢波及低通抽 樣 判 決 器調(diào)制信號(hào)輸 入解調(diào)信號(hào) 輸 出圖6 包絡(luò)檢波器同步檢測法如圖7所示。同步檢測法也稱相干解調(diào),信號(hào)經(jīng)過帶通濾波器抑制來自信道的帶外干擾,相乘器進(jìn)行頻譜反向搬移,以恢復(fù)基帶信號(hào)。低通
15、濾波器用來抑制相乘器產(chǎn)生的高次諧波干擾,通常判決電平取A/2。帶通濾波器低通濾波器抽樣判決器位同步信號(hào)調(diào)制信號(hào)輸入解調(diào)信號(hào)輸出反向信號(hào)圖7 同步檢測法 由于信號(hào)只有1和0兩種狀態(tài),因此只需要在每個(gè)信號(hào)間隔內(nèi)做出一次判決即可,由采樣判決電路完成。在相同大信噪比情況下,2ASK信號(hào)相干解調(diào)時(shí)的誤碼率總是低于包絡(luò)檢波時(shí)的誤碼率,即相干解調(diào)2ASK系統(tǒng)的抗噪聲性能優(yōu)于非相干解調(diào)系統(tǒng),但兩者相差并不太大。然而,包絡(luò)檢波解調(diào)不需要穩(wěn)定的本地相干載波,故在電路上要比相干解調(diào)簡單的多。雖然2ASK信號(hào)中確實(shí)存在著載波分量,原則上可以通過窄帶濾波器或鎖相環(huán)來提取同步載波,但這會(huì)給接收設(shè)備增加復(fù)雜性。因此,實(shí)際中
16、很少采用相干解調(diào)法來解調(diào)2ASK信號(hào)。但是,包絡(luò)檢波法存在門限效應(yīng),相干檢測法無門限效應(yīng)。所以,一般而言,對(duì)2ASK系統(tǒng),大信噪比條件下使用包絡(luò)檢測,即非相干解調(diào),而小信噪比條件下使用相干解調(diào)。2.2 整體方案設(shè)計(jì)基帶信號(hào)幅值選擇器相乘器控制器載波信號(hào)計(jì)數(shù)器判決器基帶信號(hào)已調(diào)信號(hào)信號(hào)傳輸圖8 整體方案框圖方案的設(shè)計(jì)思路是建立在Quartus 軟件上的。首先,要有一個(gè)信源,這個(gè)信源就用偽隨機(jī)序列發(fā)生器?;鶐盘?hào)和載波信號(hào)在控制器的控制下進(jìn)入調(diào)制器。調(diào)制出來的信號(hào)經(jīng)過傳輸,進(jìn)入解調(diào)器,被恢復(fù)成基帶信號(hào)。整體方框圖如圖8所示。在VHDL中采用模擬鍵控,產(chǎn)生ASK波型,示意波型如圖9所示。 0 1 0
17、 0 1 1 0 S(t) ASK圖9 2ASK波形2.3 本章小結(jié) 本章介紹了調(diào)制解調(diào)技術(shù)和整體設(shè)計(jì)方案。調(diào)制解調(diào)技術(shù)在通信系統(tǒng)中已經(jīng)是一項(xiàng)比較成熟的技術(shù)了,在此理論基礎(chǔ)上,設(shè)計(jì)了2ASK載波傳輸系統(tǒng)實(shí)現(xiàn)模型:首先必須有基帶信號(hào)和載波,然后將它們進(jìn)行調(diào)制并傳輸?shù)浇邮芷鳎ń庹{(diào)器)中進(jìn)行解調(diào),得到基帶信號(hào),這樣就完成了一個(gè)簡單的傳輸系統(tǒng)設(shè)計(jì)。3 2ASK調(diào)制系統(tǒng)的軟件仿真3.1 2ASK調(diào)制系統(tǒng)仿真建模基于VHDL語言的2ASK調(diào)制建模方框圖如圖10所示,功能的實(shí)現(xiàn)是在Quartus II軟件上完成的。clkstart分頻器基帶信號(hào)與門載波f已調(diào)信號(hào)圖10 2ASK調(diào)制系統(tǒng)建模方框圖3.2 調(diào)制
18、程序2ASK調(diào)制的VHDL程序主要的思想是通過輸入信號(hào)和載波相與(AND)來完成調(diào)制的。由于這部分是軟件仿真,發(fā)送的是二元數(shù)字信號(hào),所以不用通過帶通濾波器。但是如果在實(shí)際傳輸過程中,不管是模擬信號(hào)還是數(shù)字信號(hào),為了濾除其余頻率,在調(diào)制前要接一個(gè)帶通濾波器。2ASK調(diào)制的VHDL程序(見附錄)主要的思想是通過輸入信號(hào)和載波相與(AND)來完成調(diào)制。整個(gè)調(diào)制部分的主要程序?yàn)椋篿f clk'event and clk='1' then if start='0' then q<=0; elsif q<=1 then f<='1'
19、q<=q+1; elsif q=3 then f<='0'q<=0; else f<='0'q<=q+1;第一行程序是說在系統(tǒng)時(shí)鐘的上升沿進(jìn)行調(diào)制。第二行程序則說當(dāng)系統(tǒng)處于等待狀態(tài)時(shí),q也為0。第三行就是說當(dāng)start為1的時(shí)候,將1值賦給q并且載波f處于高電平,然后進(jìn)行一個(gè)q值加1的循環(huán)。第四行程序意思是當(dāng)q等于3的時(shí)候,載波f就為低電平,然后將0值賦給q。最后一行則說當(dāng)為低電平時(shí),進(jìn)行q值加1的循環(huán)。由于第四行程序中f<='0'q<=0,所以可以知道此時(shí)q等于1。整個(gè)程序的作用就是完成q等于1和2時(shí)f
20、為高電平,q等于3和0時(shí)f為低電平??刂戚d波的頻率對(duì)于一個(gè)調(diào)制系統(tǒng)是很重要的。知道了載波的頻率才能在解調(diào)時(shí)正確的將信號(hào)恢復(fù),而且載波的頻率也會(huì)影響到傳輸和誤碼率等問題,所以載波頻率的選擇要恰當(dāng)。這里就是把系統(tǒng)時(shí)鐘進(jìn)行4分頻,即f的周期為40ns。這部分主要程序可以通過改變第四行q(即q<=1)后面數(shù)字的大小,改變載波信號(hào)的占空比。也可以通過改變q(即q=3和q<=0)后面數(shù)字的大小,就可以改變載波信號(hào)的頻率。3.3 2ASK調(diào)制系統(tǒng)仿真 在程序編寫好了后,生成模塊。調(diào)制信號(hào)的模塊如圖11所示。 圖11 調(diào)制模塊模塊連線圖如圖12所示,在模塊的三個(gè)輸入端分別接入系統(tǒng)時(shí)鐘信號(hào)clk,允
21、許調(diào)制信號(hào)start,調(diào)制信號(hào)x和輸出信號(hào)y。圖12 模塊連線圖 由于VHDL的系統(tǒng)工作頻率是有范圍的,所以系統(tǒng)時(shí)鐘頻率的設(shè)定不能太大,否則系統(tǒng)運(yùn)行不了那么快的速度,就會(huì)造成沒有結(jié)果或者結(jié)果出錯(cuò)。這里最好系統(tǒng)時(shí)鐘周期設(shè)成10ns,以便于觀察和輸出正確的結(jié)果。在start高電平時(shí)進(jìn)行調(diào)制,f是載波,q是分頻器,f就是q分系統(tǒng)時(shí)鐘的頻率得到的。為了調(diào)制過程簡單,節(jié)省時(shí)間,在單獨(dú)的調(diào)制部分不接入m序列。 進(jìn)行全編譯后2ASK程序調(diào)制仿真圖如圖13所示。圖13 2ASK調(diào)制仿真全圖3.4 仿真結(jié)果調(diào)試x和y在280ns附近的二進(jìn)制碼元寬度(后面提到的碼元寬度都是二進(jìn)制的)有點(diǎn)不一樣,這是本身設(shè)定要注意
22、到的問題:1碼和0碼的碼元寬度要保持長度一致。如圖14所示,圖中的1碼和0碼的碼元長度就是一致的,都是載波f的6個(gè)周期。它發(fā)送的是101碼,但是可以看出它每個(gè)1碼有7個(gè)高點(diǎn)平。雖然時(shí)間上是載波的6個(gè)周期,但是這樣就會(huì)在解調(diào)部分造成誤碼。所以,在發(fā)送碼元時(shí),一定要選擇在適當(dāng)?shù)臅r(shí)間傳送,即信號(hào)輸入的時(shí)間最好在start由低電平變?yōu)楦唠娖綍r(shí)刻的附近,盡量的減少誤碼的出現(xiàn)。如圖15所示,就是選擇了正確的時(shí)間傳送信號(hào)。每個(gè)碼元長度都是載波信號(hào)的6個(gè)周期。對(duì)于發(fā)送同樣的101碼,圖15就比圖14要好的多,在觀察時(shí)很直觀,實(shí)際應(yīng)用中也會(huì)減少誤碼率。圖15所示的載波f是系統(tǒng)時(shí)鐘的4分頻,也就是40ns。 所以
23、,在調(diào)制系統(tǒng)中,要選擇正確的傳輸開始時(shí)間,選擇合適的載波頻率,注意碼元的長度一致,否則將很難傳輸一個(gè)正確的信號(hào)。圖14 信號(hào)輸入時(shí)間不恰當(dāng)時(shí)2ASK調(diào)制仿真全圖圖15 載波為系統(tǒng)時(shí)鐘的4分頻時(shí)的2ASK調(diào)制仿真全圖3.5 本章小結(jié)本章的主要內(nèi)容是2ASK調(diào)制系統(tǒng)軟件仿真的實(shí)現(xiàn)。用VHDL語言編寫一個(gè)具有分頻器和相乘器的功能模塊并進(jìn)行傳輸系統(tǒng)的仿真以及結(jié)果調(diào)試。結(jié)果很直觀的看出2ASK調(diào)制系統(tǒng)從輸入基帶信號(hào)到輸出已調(diào)信號(hào)的實(shí)現(xiàn)過程。4 2ASK解調(diào)系統(tǒng)軟件仿真4.1 解調(diào)系統(tǒng)仿真建模2ASK解調(diào)建模方框圖如圖16所示。clkstart分頻器已調(diào)信號(hào)判決計(jì)數(shù)器m基帶信號(hào)寄存器xx圖16 2ASK解
24、調(diào)建模方框圖4.2 解調(diào)程序2ASK解調(diào)VHDL程序(見附錄)的主要思想是通過一個(gè)模塊內(nèi)部的記數(shù)來判決解調(diào)信號(hào)的電平。當(dāng)然,這個(gè)記數(shù)值的上限是可以通過程序改變的,這也就是說碼元寬度是可以隨著調(diào)制出來的信號(hào)而改變,以符合調(diào)制信號(hào)的各項(xiàng)參數(shù),從而減少誤碼的出現(xiàn)。程序的主要部分是:if clk'event and clk='1' then xx<=x; if start='0' then q<=0; elsif q=11 then q<=0; else q<=q+1;第一行程序的作用是在系統(tǒng)時(shí)鐘clk上升沿時(shí),把解調(diào)信號(hào)x賦給中間信號(hào)x
25、x。第二行和第三行程序則說當(dāng)開始信號(hào)start等于0時(shí)或q值為11時(shí),將q值清零。第四行程序是一個(gè)q值加1的循環(huán)。這一部分程序具有對(duì)系統(tǒng)時(shí)鐘記數(shù)的功能。其中先設(shè)定是在系統(tǒng)時(shí)鐘上升沿工作,然后用if語句完成q的循環(huán)計(jì)數(shù),當(dāng)q等于11時(shí)循環(huán)又從0開始記數(shù)。這里面,可以通過改變第三行程序的q=11的值來改變碼元寬度。具體的原理在下個(gè)程序說明后介紹。完成2ASK解調(diào)的程序主要是:if q=11 then m<=0; elsif q=10 then if m<=3 then y<='0' else y<='1' end if;elsif xx
26、9;event and xx='1'then m<=m+1; 第一行程序是說當(dāng)q等于0時(shí)將m計(jì)數(shù)器清零。第二行、第三行和第四行程序則是通過對(duì)m大小,來判決y輸出的電平。這里當(dāng)q等于10的時(shí)候,如果m的值小于等于3,調(diào)制出來的信號(hào)是低電平,否則就是高電平。最后一行程序作用是用計(jì)數(shù)器m計(jì)xx信號(hào)的脈沖個(gè)數(shù)。程序通過對(duì)m的數(shù)值判斷大小來解調(diào)信號(hào)。碼元寬度的設(shè)置就和m的設(shè)置密切相關(guān)。這里的碼元寬度是載波的3個(gè)周期,如果改動(dòng)第三行程序中m的值,就可以改變碼元寬度,具體的設(shè)置值要和調(diào)制部分保持一致。4.3 2ASK解調(diào)系統(tǒng)仿真解調(diào)模塊如圖17所示。圖17 解調(diào)模塊圖 模塊連線圖如圖1
27、8, 在模塊的三個(gè)輸入端分別接入系統(tǒng)時(shí)鐘信號(hào)clk,允許解調(diào)信號(hào)start,解調(diào)信號(hào)x和輸出信號(hào)y。圖18 模塊連線圖 進(jìn)行全編譯后2ASK程序解調(diào)仿真圖如圖19,圖20,圖21所示。圖19 2ASK解調(diào)仿真全圖 由圖19可以看出,由于解調(diào)前的信號(hào)x的碼元寬度是6個(gè)載波周期,而這里一個(gè)載波周期是系統(tǒng)時(shí)鐘周期的兩倍,也就是說碼元周期是12個(gè)系統(tǒng)時(shí)鐘周期。從圖19可以明顯看出x信號(hào)攜帶的數(shù)據(jù)是1011011解調(diào)出來后也是1011011,即信號(hào)y,沒有誤碼。4.4 仿真結(jié)果調(diào)試如圖20所示,x的信號(hào)值延時(shí)一個(gè)系統(tǒng)時(shí)鐘賦給xx。當(dāng)x信號(hào)出現(xiàn)第一個(gè)高電平時(shí),內(nèi)部計(jì)數(shù)器m開始記數(shù),在q等于10的時(shí)候通過判
28、斷m的數(shù)值來判決輸出信號(hào)y是高電平還是低電平。.在q=11時(shí),m清零。在q為其它時(shí),m計(jì)xx(x信號(hào)的寄存器)的脈沖數(shù)。由于在q等于10前沒有進(jìn)行判決,所以之前的y信號(hào)都沒有輸出,這就造成了輸出信號(hào)y延時(shí)輸入信號(hào)x有9個(gè)系統(tǒng)時(shí)鐘。當(dāng)然,輸入信號(hào)x的時(shí)刻與允許調(diào)制信號(hào)start不協(xié)調(diào)程度不一樣的話,造成的延時(shí)也不一樣,甚至可以造成較嚴(yán)重中的錯(cuò)誤。而這里面最要注意到的問題就是前面反復(fù)說的碼元長度。如果碼元長度給定(這里的碼元長度等于12個(gè)系統(tǒng)時(shí)鐘周期),那么就需要注意start信號(hào)和x信號(hào)的同步情況,但是如果碼元長度除了問題,最后就結(jié)果就會(huì)出現(xiàn)較大錯(cuò)誤。如圖21所示,x信號(hào)的第一個(gè)碼元的長度不夠,
29、m在記數(shù)時(shí)記到3就清零了,符合y輸出低電平的條件:if m<=3 then y<='0'。而本來傳輸?shù)氖切盘?hào)1,y的輸出應(yīng)該是高電平。再看y信號(hào)的第一個(gè)高電平和相對(duì)的x信號(hào)的長度不一樣。y信號(hào)是兩個(gè)連1,即11,x信號(hào)應(yīng)該是12個(gè)載波周期,即24個(gè)系統(tǒng)時(shí)鐘周期,而這里只有9個(gè)載波周期,這就讓人無法得知傳輸?shù)男畔⒌降资?1還是10。所以這個(gè)結(jié)果就出現(xiàn)了錯(cuò)誤,也就是誤碼。圖20 start信號(hào)和x信號(hào)不同時(shí)的2ASK解調(diào)仿真圖圖21 x信號(hào)的碼元長度不夠時(shí)2ASK解調(diào)仿真圖4.5 本章小結(jié)本章介紹的是2ASK解調(diào)系統(tǒng)軟件仿真的實(shí)現(xiàn)。用VHDL語言編寫一個(gè)具有判決器的功能
30、模塊并進(jìn)行傳輸系統(tǒng)的仿真以及結(jié)果調(diào)試。通過結(jié)果了解2ASK解調(diào)系統(tǒng)從信號(hào)傳輸進(jìn)來到解調(diào)輸出的全過程。5 2ASK調(diào)制與解調(diào)系統(tǒng)綜合仿真5.1 基帶信號(hào)的輸入由于m序列具有隨機(jī)性和規(guī)律性,好的自相關(guān)和互相關(guān)性,且很容易產(chǎn)生?;鶐盘?hào)用m序列發(fā)生器產(chǎn)生。5.1.1 m序列簡介 m序列是最大長度線性移位寄存器序列的簡稱。偽隨機(jī)信號(hào)在雷達(dá)、遙控、遙測、通信加密和無線電測量系統(tǒng)領(lǐng)域有著廣泛的應(yīng)用。利用VHDL語言進(jìn)行軟件編程,通過EDA設(shè)計(jì)軟件對(duì)程序編譯、優(yōu)化、綜合、仿真、適配,最后將生成的網(wǎng)表文件配置于制定的目標(biāo)芯片中,可以實(shí)現(xiàn)不同序列長度的偽隨機(jī)信號(hào)發(fā)生器。首先用在擴(kuò)頻通信系統(tǒng)中,3 G及3 G移動(dòng)
31、通信技術(shù)的特征之一是碼分多址即CDMA,碼是CDMA碼分的基礎(chǔ)。這里的碼就是偽隨機(jī)碼,簡稱PN碼。這是因?yàn)閭坞S機(jī)序列(Pseudonoise Sequenec)具有類似于隨機(jī)信號(hào)的一些統(tǒng)計(jì)特性,但又是有規(guī)律的,容易產(chǎn)生和復(fù)制。也正是源于系統(tǒng)中一般都采用偽隨機(jī)序列,在擴(kuò)頻通信系統(tǒng)中也把擴(kuò)頻序列叫作偽隨機(jī)序列(即PN碼)。PN碼的選擇作為3 G移動(dòng)通信的關(guān)鍵技術(shù)之一直接影響CDMA系統(tǒng)的質(zhì)量、抗干擾能力等。目前IS95標(biāo)準(zhǔn)中使用的PN序列就是m序列,同時(shí)m序列還是構(gòu)成其他序列碼的基礎(chǔ),如在WCDMA中采用的GOLD碼就是由2個(gè)m序列相加而成的。此外m序列又有較好的密碼學(xué)性質(zhì),用在密碼學(xué)和保密通信中
32、,即用來產(chǎn)生序列密碼。m序列是最長線性移位寄存器序列,它是由帶線性反饋的移位寄存器產(chǎn)生的周期最長的一種序列。一般來說,一個(gè)n級(jí)的反饋移存器可能產(chǎn)生的最長周期為:2n-1-1 ,則8位的移位寄存器能產(chǎn)生的最長周期為127。能產(chǎn)生周期最長的線性移位寄存器的結(jié)構(gòu)由其本原多項(xiàng)式?jīng)Q定,本原多項(xiàng)式應(yīng)滿足:(1)是既約多項(xiàng)式,即不能再進(jìn)行因式分解,(2)可除盡,(3)整除不盡 。m序列一個(gè)周期N=2n-1內(nèi)“1”和“0”的碼元數(shù)大致相等,“0”出現(xiàn)2n-1-1 次,“1”出現(xiàn)2n-1次 (“1”比“0”只多一個(gè)) 。m序列中連續(xù)的為“1”或“0”,稱為游程,且其個(gè)數(shù)稱為游程長度。一個(gè)周期P=2n-1內(nèi),共有
33、2n-1個(gè)游程,其中長度為1(單“1”,或單“0”,)的游程占總游程的1/2,長度為2(“11”或“00”)的游程占總游程的1/4,長度為3(“111”或“000”)的游程占總游程的1/8,長度為k的游程占總游程的1/2k,只有一個(gè)包含(n一l)個(gè)“0”的游程,也只有一個(gè)包含n個(gè)“1”的游程。 m序列有較好的自相關(guān)性和互相關(guān)性。m序列和其移位后的序列逐位模2加,所得的序列還是m序列,只是相位不同。m序列發(fā)生器中的對(duì)于2個(gè)不同相位的m序列,當(dāng)周期P很大并且模P0時(shí),那么2個(gè)序列幾乎是正交的。5.1.2 m序列的實(shí)現(xiàn)在實(shí)際工程應(yīng)用中,m序列即可用硬件產(chǎn)生,也可用軟件產(chǎn)生。隨著EDA技術(shù)的應(yīng)用,可用
34、VHDL編程來實(shí)現(xiàn)。 移位寄存器由時(shí)鐘控制若干個(gè)串接的存儲(chǔ)器所組成。在時(shí)鐘信號(hào)的控制下,寄存器的存儲(chǔ)信號(hào)由上一級(jí)向下一級(jí)傳遞。如果讓某些寄存器的輸出反饋回來進(jìn)行運(yùn)算,運(yùn)算結(jié)果作為第一級(jí)寄存器的輸入,則可以得到一個(gè)移位寄存器序列。如果移位寄存器的反饋函數(shù)和初始狀態(tài)不同,那么可得到不同的移位寄存器序列。線性移位寄存器總共有1,2,3, ,N個(gè)寄存器,他們的狀態(tài)為Xi(i=1,2,3,n),經(jīng)Ci(I=1,2,3,n)相乘后模2加,再反饋。這里Ci(0,1),且乘法規(guī)則是0·0=0·1=1·0=0,1·1=1。實(shí)際上Ci=0表示斷開,Ci=1表示連接。因此這個(gè)
35、N階移位寄存器的反饋函數(shù)為: (8) (9)特征多項(xiàng)式中的Xi(i=0,1,2.n)與移位寄存器的第 i個(gè)觸發(fā)器相對(duì)應(yīng)。選m序列的級(jí)數(shù)為n=7,序列長度為127。如圖22所示。圖22 n=7的反饋移位寄存器的結(jié)構(gòu)模型 根據(jù)圖22的結(jié)構(gòu)模型,設(shè)置敏感信號(hào)。在始終的上升沿控制下,當(dāng)load=1時(shí),給移位寄存器預(yù)置初始信號(hào)100000。m序列的程序見附錄,生成的m序列模塊如圖23所示。圖23 m序列模塊 模塊連線圖如圖24所示,在模塊的兩個(gè)輸入端分別接入系統(tǒng)時(shí)鐘信號(hào)clk、序列產(chǎn)生控制信號(hào)load和輸出信號(hào)q。全編譯通過后仿真圖如圖25所示。圖24 模塊連線圖圖25 m序列仿真結(jié)果圖 圖中的load
36、在“1”電平期間對(duì)偽隨機(jī)序列發(fā)生器置初始信號(hào),早“0”電平期間偽隨機(jī)序列發(fā)生器進(jìn)行移位操作。clk表示移位寄存器的位同步信號(hào),q表示串行偽隨機(jī)序列信號(hào)的產(chǎn)生。5.2 綜合仿真 將調(diào)制解調(diào)的模塊綜合連接起來如圖26所示,在模塊的四個(gè)輸入端分別接入調(diào)制模塊的系統(tǒng)時(shí)鐘信號(hào)clk,解調(diào)模塊的系統(tǒng)時(shí)鐘clk1,控制信號(hào)start,調(diào)制信號(hào)x和輸出信號(hào)y。圖26 調(diào)制解調(diào)綜合模塊圖 全編譯后仿真圖如圖27,圖28所示。圖27 2ASK調(diào)制解調(diào)仿真圖圖28 2ASK調(diào)制解調(diào)仿真局部放大圖 由于調(diào)制模塊是將系統(tǒng)時(shí)鐘4分頻,解調(diào)模塊是將系統(tǒng)時(shí)鐘2分頻。所以這兩個(gè)模塊的系統(tǒng)時(shí)鐘不能一樣,必須符合條件clk1的周期
37、等于clk周期的兩倍,這樣他們的xx的信號(hào)頻率才和載波頻率一樣,才能正確的解調(diào)出調(diào)制信號(hào)。如果將兩個(gè)模塊的系統(tǒng)時(shí)鐘設(shè)成一樣或者不是兩倍的關(guān)系,結(jié)果會(huì)出現(xiàn)輸出y一直是低電平或其他的錯(cuò)誤情況。5.3 仿真結(jié)果調(diào)試 一定要在控制信號(hào)一出現(xiàn)高電平就送入調(diào)制信號(hào),即m的記數(shù)值0結(jié)束時(shí),應(yīng)該對(duì)應(yīng)到解調(diào)部分的信號(hào)q的1或2記數(shù)值的結(jié)束。因?yàn)橐坏┛刂菩盘?hào)start為高電平,信號(hào)q就開始記數(shù)功能。而m則要等到有解調(diào)信號(hào)輸入時(shí)才記數(shù)。q的記數(shù)頻率是m的兩倍,而q有12個(gè)取值(0到11),m有6個(gè)取值(0到5),所以應(yīng)該使q的兩個(gè)值的記數(shù)時(shí)間等于m的一個(gè)值的記數(shù)時(shí)間,也才符合碼元長度為6個(gè)調(diào)制部分的載波周期。信號(hào)q
38、和信號(hào)m沒有對(duì)齊會(huì)造成m的記數(shù)值錯(cuò)誤而出現(xiàn)誤碼,如圖29,圖30所示。圖29 調(diào)制解調(diào)仿真結(jié)果圖圖30 調(diào)制解調(diào)仿真結(jié)果局部放大圖 圖29所示是傳輸一個(gè)1011碼,但是最后解調(diào)出來是0001碼。出現(xiàn)這個(gè)結(jié)果的原因就是上述所說的m和q的記數(shù)不同步。圖30是圖29的部分放大圖,由圖30所示可以看出m在295ns時(shí)開始對(duì)xx信號(hào)記數(shù)。在360ns時(shí),m應(yīng)該記xx信號(hào)的第四個(gè)高電平,即m應(yīng)為4。但是由于信號(hào)q在此時(shí)已經(jīng)記完了11個(gè)數(shù)并清零了,就使得m信號(hào)還沒有記完6個(gè)載波周期就也被清零了。這樣本來x信號(hào)是傳輸1碼,被解調(diào)出來就是0碼了。而后面一個(gè)碼由于q重新記數(shù)所以m和q同步?jīng)]有解調(diào)錯(cuò)誤。所以在解調(diào)時(shí)
39、要特別注意m信號(hào)和q信號(hào)的同步開始問題。 將m序列發(fā)生器ps,2ASK調(diào)制模塊PLASK和解調(diào)模塊PLASK2連接起來,同時(shí)每個(gè)模塊接一個(gè)輸出以便觀察并驗(yàn)證結(jié)果。這里需要注意的是三個(gè)模塊不能接同一個(gè)系統(tǒng)時(shí)鐘,否則在調(diào)試的時(shí)候是很困難的,模塊圖如圖31所示。全編譯后仿真如圖32所示。仿真放大圖如圖33所示。 圖31 調(diào)制解調(diào)綜合模塊圖 圖32 2ASK調(diào)制解調(diào)綜合仿真結(jié)果圖 圖33 調(diào)制解調(diào)綜合仿真局部放大圖 clk和clk1繼續(xù)遵循clk1的頻率是clk的兩倍的原則。m序列發(fā)生器的系統(tǒng)時(shí)鐘clk2要盡量大一點(diǎn)。clk的周期為1.5ns,clk1的周期為3ns,clk2的周期為36ns。載波信號(hào)
40、經(jīng)過調(diào)制模塊對(duì)clk信號(hào)的4分頻后周期為6 ns,等于clk1信號(hào)經(jīng)過解調(diào)模塊2分頻后的周期6 ns。又因?yàn)橐?guī)定的碼元寬度為載波信號(hào)的6個(gè)周期,即36 ns,和m序列發(fā)生器的系統(tǒng)時(shí)鐘信號(hào)clk2的周期相等,再加上m序列發(fā)生器的一個(gè)系統(tǒng)時(shí)鐘就產(chǎn)生一個(gè)碼元。那么可知調(diào)制信號(hào)的碼元寬度是載波的6個(gè)周期,符合一開始的要求。如圖32所示,m序列產(chǎn)生的是1001111001碼,輸出信號(hào)y也是1001111001碼,由此可得結(jié)果正確。5.4 本章小結(jié) 本章介紹的是在設(shè)計(jì)中相當(dāng)重要的一部分調(diào)制和解調(diào)功能的整體實(shí)現(xiàn)。在第3章和第4章的方案設(shè)計(jì)基礎(chǔ)上,設(shè)計(jì)了偽隨機(jī)序列作為基帶信號(hào),同時(shí)將調(diào)制和解調(diào)模塊進(jìn)行改進(jìn)并綜
41、合。完成2ASK傳輸系統(tǒng)從發(fā)送信號(hào)到輸出信號(hào)的全過程。通過結(jié)果驗(yàn)證了仿真分析的正確性,誤碼率低。結(jié)束語 數(shù)字基帶信號(hào)是編碼后產(chǎn)生的二進(jìn)制隨機(jī)矩形信號(hào),且往往具有支流和豐富的低頻分量,所以分析它的頻譜應(yīng)該采用功率頻譜,這一點(diǎn)是和模擬調(diào)制與解調(diào)時(shí)不一樣的。數(shù)字調(diào)制系統(tǒng)的優(yōu)點(diǎn)是在于抗干擾和噪聲的能力強(qiáng),可以同時(shí)傳輸各種不同速率或帶寬的信號(hào)(如聲音、圖像和數(shù)據(jù)信號(hào)等等),并且易于采用加密的方式傳送信息。但是由于數(shù)字基帶信號(hào)的頻譜較寬,因此如何充分有效地利用有限的頻帶是數(shù)字調(diào)制中重要的研究課題,這也是許多中調(diào)制方式產(chǎn)生的原因,包括這里設(shè)計(jì)的2ASK調(diào)制。在2ASK數(shù)字傳輸系統(tǒng)中,VHDL的作用相當(dāng)重要,
42、尤其是在對(duì)基帶信號(hào)的處理和整個(gè)系統(tǒng)的控制中VHDL不但能大大縮減電路的體積,提高電路的穩(wěn)定性,而且先進(jìn)的開發(fā)工具使整個(gè)2ASK傳輸系統(tǒng)的設(shè)計(jì)調(diào)試周期大大縮短。由于VHDL器件實(shí)現(xiàn)的各功能塊可以同時(shí)工作,從而實(shí)現(xiàn)指令級(jí)、比特級(jí)、流水線級(jí)甚至是任務(wù)級(jí)的并行執(zhí)行,從而大大地加快了處理速度。VHDL的時(shí)鐘延遲可以達(dá)到納秒級(jí),對(duì)這個(gè)要求誤碼率低的調(diào)制解調(diào)系統(tǒng)十分有利。 設(shè)計(jì)說明2ASK數(shù)字傳輸系統(tǒng)中,調(diào)制解調(diào)簡單,但頻譜特性不好,比較寬,帶寬利用低率低。加大碼元寬度,可增加碼元能量,有利于提高通信系統(tǒng)的可靠性。在二進(jìn)制數(shù)字系統(tǒng),隨著傳輸碼率的提高,所需信道帶寬增加,采用多進(jìn)制可降低碼元速率和減小信道帶寬
43、。參考文獻(xiàn)1 樊昌信,曹麗娜.通信原理(第七版)M.北京:國防工業(yè)出版社,2015.2 潘松,黃繼業(yè).EDA技術(shù)實(shí)用教程VHDL版M.北京:科學(xué)出版社,2013. 3 潘松,黃繼業(yè).EDA技術(shù)實(shí)用教程M.北京:科學(xué)出版社,2002.4 曹志剛,錢亞生.現(xiàn)代通信原理M.北京:清華大學(xué)出版社,2001.5 柳春鋒.電子設(shè)計(jì)自動(dòng)化教程M.北京:北京理工大學(xué)出版社,2005.致 謝首先,感謝我的指導(dǎo)老師劉海波老師,老師的指導(dǎo)給了我們很大的幫助,老師嚴(yán)謹(jǐn),一絲不茍的工作作風(fēng)是我們學(xué)習(xí)的榜樣,由衷感謝老師的幫助與指導(dǎo)。其次,感謝我的室友們,從遙遠(yuǎn)的家來到這個(gè)陌生的城市里,是你們和我共同維系著彼此之間的感情
44、,維系著寢室那份家的融洽。最后,要感謝我身邊的同學(xué)們。在完成課程設(shè)計(jì)的過程中,身邊的同學(xué)也給了我們很多的幫助,我們一起討論,一起研究,解決了很多不懂的問題,謝謝我的同學(xué)們。 通過本次的課程設(shè)計(jì)使我對(duì)Quartus軟件有了更進(jìn)一步的認(rèn)識(shí),也提高了對(duì)VHDL語言的編寫的能力。并且從中學(xué)到了很多課本中沒有的東西,使我在知識(shí)的掌握與軟件的操作上,都得到了不少的提高。附錄 程序清單1、 m序列發(fā)生器源程序:library IEEE;use ieee.std_logic_1164.all;entity ps isport(clk,load:in std_logic;q: out std_logic);en
45、d ps;architecture a1 of ps issignal c0,c1,c2,c3,c4,c5,c6,c7:std_logic;beginprocess(clk,load)beginif clk'event and clk='1' thenif(load='1') thenc7<='0'c6<='0'c5<='0'c4<='0'c3<='0'c2<='0'c1<='0'c0<='1'q<=c7;elsec1<=c0;c2<=c1;c3<=c2;c4<=c3;c5<=c4;c6<=c5;c7<=c6;c0<=c7 xor c4 xor c3 xor c2;q<=c7;end if;end if;end process;end a1;2、2ASK調(diào)制VHDL程序:l
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 各地專升本數(shù)學(xué)試卷
- 廣東高中新課標(biāo)數(shù)學(xué)試卷
- 甘肅六年級(jí)數(shù)學(xué)試卷
- 冮蘇省七下數(shù)學(xué)試卷
- 2025年04月佳木斯市湯原縣鄉(xiāng)鎮(zhèn)衛(wèi)生院公開招聘醫(yī)學(xué)畢業(yè)生1人筆試歷年專業(yè)考點(diǎn)(難、易錯(cuò)點(diǎn))附帶答案詳解
- 2025年浙江醫(yī)療衛(wèi)生招聘溫州醫(yī)科大學(xué)附屬第二醫(yī)院招聘心理測評(píng)室技師2人筆試歷年專業(yè)考點(diǎn)(難、易錯(cuò)點(diǎn))附帶答案詳解
- 2025至2030城市商業(yè)銀行行業(yè)發(fā)展趨勢分析與未來投資戰(zhàn)略咨詢研究報(bào)告
- 四川甘孜州遴選公務(wù)員考試真題2024
- 光谷八下數(shù)學(xué)試卷
- 分享一次數(shù)學(xué)試卷
- 嚴(yán)格標(biāo)準(zhǔn)物質(zhì)管理制度
- 體育課期末課程總結(jié)匯報(bào)
- 論語十二章 導(dǎo)學(xué)案 統(tǒng)編版高中語文選擇性必修上冊
- 新生兒呼吸機(jī)相關(guān)性肺炎的防控
- 兒童籃球教學(xué)課件視頻
- 道路運(yùn)輸行業(yè)員工安全考試試卷及答案
- 注塑公司規(guī)章管理制度
- 應(yīng)急救援技術(shù)專業(yè)教學(xué)標(biāo)準(zhǔn)(中等職業(yè)教育)2025修訂
- 河南交通投資集團(tuán)有限公司招聘筆試真題2024
- 呼吸康復(fù)講課
- 互聯(lián)網(wǎng)女皇AI報(bào)告:人工智能趨勢報(bào)告(雙語翻譯版本)
評(píng)論
0/150
提交評(píng)論