基于FPGA的四階IIR數(shù)字濾波器_第1頁
基于FPGA的四階IIR數(shù)字濾波器_第2頁
基于FPGA的四階IIR數(shù)字濾波器_第3頁
基于FPGA的四階IIR數(shù)字濾波器_第4頁
基于FPGA的四階IIR數(shù)字濾波器_第5頁
已閱讀5頁,還剩1頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、基于FPGA的四階IIR數(shù)字濾波器       摘要:采用FPGA實(shí)現(xiàn)四階IIR數(shù)字濾波器,通過兩個(gè)二階節(jié)級(jí)聯(lián)構(gòu)成數(shù)字橢圓低通濾波器。通帶內(nèi)波紋小于0.1dB,阻帶衰減大于32dB。    常用的數(shù)字濾波器有數(shù)字濾波器和數(shù)字濾波器。數(shù)字濾波器具有精確的線性相位特性,在信號(hào)處理方面應(yīng)用極為廣泛,而且可以采用事先設(shè)計(jì)調(diào)試好的數(shù)字濾波器 來完成設(shè)計(jì),例如公司提供的針對(duì)系列可編程器件的,但是需要向公司購(gòu)買或申請(qǐng)?jiān)囉冒?。另外,?duì)于相同的設(shè)計(jì)指標(biāo),濾波器所要求的階數(shù)比濾波器高倍,成本較高,而且信號(hào)的延遲也較大。濾波器

2、所要求的階數(shù)不僅比濾波器低,而且可以利用模擬濾波器的設(shè)計(jì)成果,設(shè)計(jì)工作量相對(duì)較小,采用實(shí)現(xiàn)的濾波器同樣具有多種優(yōu)越性。濾波器主要有巴特沃斯濾波器、切比雪夫?yàn)V波器和橢圓濾波器幾種。給出了以上三種濾波器實(shí)現(xiàn)同樣性能指標(biāo)所需的階數(shù)及阻帶衰減的比較,如表所示。表1 三種濾波器的性能比較                原  型         &#

3、160;  階  數(shù)            阻帶衰減/dB                    巴特沃斯            

4、6            15                    切比雪夫I型            4    &#

5、160;       25                    橢圓函數(shù)            3         &

6、#160;  27              由表可見,橢圓濾波器給出的設(shè)計(jì)階數(shù)比前兩種低,而且頻率特性較好,過渡帶較窄,但是橢圓濾波器在通帶上的非線性相位響應(yīng)最明顯。本系統(tǒng)選用橢圓函數(shù)濾波器進(jìn)行設(shè)計(jì)。 原理分析數(shù)字濾波器實(shí)際上是一個(gè)采用有限精度算法實(shí)現(xiàn)的線性非時(shí)變離散系統(tǒng),它的設(shè)計(jì)步驟為:首先根據(jù)實(shí)際需要確定其性能指標(biāo),再求得系統(tǒng)函數(shù)(),最后采用有限精度算法實(shí)現(xiàn)。根據(jù)需要,本系統(tǒng)的設(shè)計(jì)指標(biāo)為:模擬信號(hào)采樣頻率為,每周期最少采樣點(diǎn),即模擬信號(hào)的

7、通帶邊緣頻率為,阻帶邊緣頻率,通帶波動(dòng)不大于(通帶誤差不大于),阻帶衰減不小于。換算為數(shù)字域指標(biāo)為:,。系統(tǒng)函數(shù)()的計(jì)算采用軟件比較方便,其中有兩個(gè)現(xiàn)成的函數(shù)可以使用:(,)函數(shù)用來計(jì)算數(shù)字橢圓濾波器的階次和截止頻率,而(,)函數(shù)可以求得直接型橢圓濾波器的各個(gè)系數(shù)。通過調(diào)用以上兩個(gè)函數(shù)計(jì)算得到的系統(tǒng)函數(shù)()為:這是一個(gè)四階系統(tǒng),計(jì)算出該系統(tǒng)的頻率響應(yīng)如圖所示,可見滿足設(shè)計(jì)要求。如果采用直接型結(jié)構(gòu)實(shí)現(xiàn),需用的乘法器和延遲單元相對(duì)較多,而且分子和分母的系數(shù)相差較大,需要較多的二進(jìn)制位數(shù)才能實(shí)現(xiàn)相應(yīng)的精度要求。如果采用二階節(jié)級(jí)聯(lián)實(shí)現(xiàn),一來各基本節(jié)的零點(diǎn)、極點(diǎn)可以很方便地單獨(dú)進(jìn)行調(diào)整,二來可以降低對(duì)

8、二進(jìn)制數(shù)位數(shù)的要求。給出了一個(gè)直接型結(jié)構(gòu)轉(zhuǎn)為級(jí)聯(lián)型結(jié)構(gòu)的文件,利用該函數(shù)求得系統(tǒng)函數(shù)的級(jí)聯(lián)表達(dá)形式為:()()×()(0.11-0.1041z -1+0.11z -2)/(1-1.58z -1+0.6469z -2)×(0.2464-0.426z -1+0.2464z -2)/(1-1.7753z -1+0.892z -2)由上式可以看出,每個(gè)二階節(jié)的分子、分母系數(shù)差異減少了。值得注意的是,在分配二階節(jié)的增益時(shí),要保證每個(gè)節(jié)不會(huì)發(fā)生運(yùn)算溢出,可以先用軟件分析計(jì)算來合理安排各節(jié)的增益。經(jīng)過計(jì)算,本文采用第一級(jí)分配.,第二級(jí)分配.,可以保證在要求的輸入范圍,沒有數(shù)據(jù)溢出發(fā)生。

9、系統(tǒng)實(shí)現(xiàn)將第一個(gè)二階節(jié)的系統(tǒng)函數(shù)表示為差分方程:()()()()()()().()().().()可以看出,一個(gè)二階節(jié)的實(shí)現(xiàn)需要五次乘法運(yùn)算、四次加法運(yùn)算(采用二進(jìn)制補(bǔ)碼將減法運(yùn)算變?yōu)榧臃ㄟ\(yùn)算)。兩個(gè)二階節(jié)共需要十次乘法運(yùn)算。雖然現(xiàn)在已有上千萬門的產(chǎn)品可供選用,但是一般應(yīng)用時(shí)全部采用硬件陣列乘法器畢竟不太合適,而如果采用串行乘法器進(jìn)行分時(shí)復(fù)用,其工作速度也不太理想。本文采用一個(gè)折中的方法實(shí)現(xiàn),即乘加單元()的乘法器采用陣列乘法器,而不使用串行乘法器,以提高運(yùn)算速度。需要注意的是,的庫中乘法運(yùn)算為無符號(hào)數(shù)的陣列乘法,所以使用時(shí)需要先將兩個(gè)補(bǔ)碼乘數(shù)轉(zhuǎn)換為無符號(hào)數(shù)相乘后,再將乘積轉(zhuǎn)換為補(bǔ)碼乘積輸出。

10、每個(gè)二階節(jié)完成一次運(yùn)算共需要個(gè)時(shí)鐘周期,而且需采用各自獨(dú)立的實(shí)現(xiàn)兩級(jí)流水線結(jié)構(gòu),即每個(gè)數(shù)據(jù)經(jīng)過兩個(gè)二階節(jié)輸出只需要個(gè)時(shí)鐘周期。 系統(tǒng)原理框圖系統(tǒng)原理框圖如圖所示,模擬信號(hào)經(jīng)過轉(zhuǎn)換為的二進(jìn)制數(shù)后,送入四階低通濾波器,處理后輸出位二進(jìn)制數(shù)送得到雙極性的模擬電壓輸出。圖3 四階IIR濾波器的頂層原理圖    頂層模塊頂層模塊如圖所示。主要由一個(gè)時(shí)序控制模塊、兩個(gè)二階節(jié)模塊(和)構(gòu)成。模塊設(shè)計(jì)為位二進(jìn)制補(bǔ)碼輸入,最高位為補(bǔ)碼符號(hào)位,次高位用于防止運(yùn)算時(shí)的溢出??梢娫撃K實(shí)際可以輸入位二進(jìn)制補(bǔ)碼數(shù),但的輸出數(shù)據(jù)為位,輸入到模塊時(shí),將和引腳均接地,即輸入為正極性電壓。輸入端為

11、異步清零端,高電平有效。當(dāng)輸入時(shí)鐘為時(shí),模塊產(chǎn)生一個(gè)頻率為的輸出時(shí)鐘提供給。輸出數(shù)據(jù)為位二進(jìn)制補(bǔ)碼。和模塊構(gòu)成級(jí)聯(lián)結(jié)構(gòu)。 和模塊、模塊主要由兩個(gè)模塊構(gòu)成,一個(gè)是數(shù)據(jù)移位模塊,在時(shí)鐘作用下將差分方程的各、值延遲一個(gè)時(shí)鐘;另一個(gè)模塊是補(bǔ)碼乘加單元,用語言編寫,兩個(gè)乘數(shù)先取補(bǔ)后再進(jìn)行陣列乘法,在時(shí)鐘控制下完成一次乘加運(yùn)算,乘積取補(bǔ)后輸出,共需要個(gè)時(shí)鐘。差分方程的各系數(shù)如表所示,采用位定點(diǎn)純小數(shù)補(bǔ)碼表示。表2 二階差分方程的系數(shù)系  數(shù) a0 a1 a2 b0 b1 IIR1 01CH 3E6H 01CH 194H 35BH IIR2 03FH 393H 03FH 1C6H 31CH 另

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論