第三章 集成門電路和觸發(fā)器03_第1頁
第三章 集成門電路和觸發(fā)器03_第2頁
第三章 集成門電路和觸發(fā)器03_第3頁
第三章 集成門電路和觸發(fā)器03_第4頁
第三章 集成門電路和觸發(fā)器03_第5頁
已閱讀5頁,還剩143頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、 與與非非或或DeTcbn邏輯變量和邏輯函數(shù)的取值只有邏輯變量和邏輯函數(shù)的取值只有0 0和和1 1兩種可能兩種可能理想電子開關(guān)理想電子開關(guān)DeTcb(1) VFFKVFLRI(b)VD IF 0.5 0.7iD(mA) uD(V)伏安特性UBR0DVFVD(a)LR閾值電壓閾值電壓VFVTH0 7DV.導(dǎo)通電壓(2) VRDVRISLR(a)1SKLRVR(b) IF 0.5 0.7iD(mA) uD(V)伏安特性UBR0 反向擊穿電壓反向擊穿電壓VRUBR0 7 .+DLRivi?+V+T123cbeRRbCCVIiBiCCiCIB1IB2B3IB4IB5IB=0=IBSABCDEvCEVC

2、CVCC/RCICS0.7VI +V+T123cbeRRbCCVIiBiCCiCIB1IB2B3IB4IB5IB=0=IBSABCDEvCEVCCVCC/RCICS0.7VI bIbBEIBRVRVVIVV7 . 0BEBCIICCCCCEIRVV0.70CEBCVV CEBEBCVVV0.70CEBCVV+V+T123cbeRRbCCVIiBiCCiCIB1IB2B3IB4IB5IB=0=IBSABCDEvCEVCCVCC/RCICS0.7VI bIbBEIBRVRVVIVV7 . 0BEBCIICCCCCEIRVVCEBEBCVVV0.70CEBCVV,0.3CCCCESCVIVVR+V+

3、T123cbeRRbCCVIiBiCC1.1.延遲時(shí)間延遲時(shí)間td:從輸入信號(hào)從輸入信號(hào)vi正跳變的瞬間正跳變的瞬間開始,到集電極電流開始,到集電極電流iiC上升到上升到0.10.1I ICS所需的時(shí)間;所需的時(shí)間;2.2.上升時(shí)間上升時(shí)間tr :集電極電流從:集電極電流從0.10.1I ICS上升到上升到0.90.9I ICS所需所需的時(shí)間的時(shí)間; ;3.3.存儲(chǔ)時(shí)間存儲(chǔ)時(shí)間ts :從輸入信號(hào):從輸入信號(hào)vi下跳變的瞬間開始,到集下跳變的瞬間開始,到集電極電流電極電流iiC下降到下降到0.90.9I ICS所需的時(shí)間所需的時(shí)間; ;4.4.下降時(shí)間下降時(shí)間tf :集電極電流從:集電極電流從0

4、.90.9I ICS降到降到0.10.1I ICS所需的所需的 時(shí)間。時(shí)間。 . FAB1邏輯與:0 0 00 0 00 1 00 1 01 0 01 0 01 1 11 1 1A B YA B Y與邏輯真值表與邏輯真值表輸入輸入/出電平表出電平表0 0 00 0 00 +5 00 +5 0+5 0 0+5 0 0+5 +5 +5+5 +5 +5VA VB VF VAVB+5VVO0 0 00 0 00 1 10 1 11 0 11 0 11 1 11 1 1A B YA B Y或邏輯真值表或邏輯真值表輸入輸入/出電平表出電平表0 0 00 0 00 +5 +50 +5 +5+5 0 +5+5

5、 0 +5+5 +5 +5+5 +5 +5VA VB VF VAVBVO. +FA B2邏輯或:. FA3邏輯非:0 10 11 01 0A YA Y非邏輯非邏輯真值表真值表0 +50 +5+5 0+5 0輸入輸入/出出電平表電平表VA VF VA+5VVOVAVO. FA3邏輯非:0 10 11 01 0A YA Y非邏輯非邏輯真值表真值表0 +50 +5+5 0+5 0輸入輸入/出出電平表電平表VA VF V VA AR R1 1V VO O+V+VccccR Rc c T3b1+5VFR4R2R1T2R3D4T1T4c1ABCe1T3b1+5VFR4R2R1T2R3D4T1T4c1ABC

6、e1T T1 1: :多發(fā)射極晶體管多發(fā)射極晶體管CBAF NNPT3b1+5VFR4R2R1T2R3D4T1T4c1ABCe13.610.30VV高電平邏輯低電平邏輯T3b1+5VFR4R2R1T2R3D4T1T4c1ABCe1任一輸入為低電平時(shí)“0”導(dǎo)通導(dǎo)通 三個(gè)三個(gè)PN結(jié)結(jié)導(dǎo)通需導(dǎo)通需2.1V 截止截止截止截止飽和導(dǎo)通飽和導(dǎo)通 uo2345oRbebeuuuu23322cRbiui RR3.6V高電平00.3V1VT3b1+5VFR4R2R1T2R3D4T1T4c1ABCe1所有輸入全為高電平時(shí)“1”3.6V導(dǎo)通導(dǎo)通 4.3V全飽和導(dǎo)通全飽和導(dǎo)通全反偏全反偏2.1V1V截止截止截止截止u

7、o4oceuu0.3V低電平eTcbDk0.3DKVVDkecbn未飽和時(shí),未飽和時(shí), 截止,對(duì)電路無影響;截止,對(duì)電路無影響;kDn深飽和時(shí),深飽和時(shí), 導(dǎo)通,基極電流分流,導(dǎo)通,基極電流分流,使三極管處于淺飽和狀態(tài);使三極管處于淺飽和狀態(tài);kD&VCCF1F2F12FF FT3VccF1R4D4T4T3VccF2R4D4T4F截止截止截止截止截止截止T3VccF1R4D4T44RLUccABF邏輯符號(hào)邏輯符號(hào) &UCCF1F2F3F輸出級(jí)輸出級(jí)UCCRLT5T5T5123FF FFT3b1+5VFR4R2R1T2R3D4T1T4c1ABe1截止截止截止截止截止截止2.1V1

8、.4V導(dǎo)通導(dǎo)通 電位拉低電位拉低 E=0DABF邏輯符號(hào)邏輯符號(hào) EABF邏輯符號(hào)邏輯符號(hào) E輸輸出出高高阻阻1E0EABF 輸輸出出高高阻阻E=0E=1ABF 總線總線 禁止禁止工作工作禁止禁止工作工作低電平低電平 :0V-0.4V :0V-0.4V 標(biāo)稱值:標(biāo)稱值:0.3V0.3V OLV不同的廠家不一樣不同的廠家不一樣不同的型號(hào)不一樣不同的型號(hào)不一樣高電平高電平 :2.4V-5V :2.4V-5V 標(biāo)稱值:標(biāo)稱值:3.6V3.6V OHV2.4V3.6V0.4V0V“1 ”“0 ”高電平電壓的范圍的范圍低電平電壓Vo0.4v5v扇入系數(shù)扇入系數(shù) 是指合格的輸入端的個(gè)數(shù);是指合格的輸入端的

9、個(gè)數(shù);iN扇出系數(shù)扇出系數(shù) 是指在正常工作狀態(tài)下所能是指在正常工作狀態(tài)下所能 帶同類門電路的最大數(shù)目;帶同類門電路的最大數(shù)目;oN導(dǎo)通延遲時(shí)間導(dǎo)通延遲時(shí)間t tPHLPHL截止延遲時(shí)間截止延遲時(shí)間t tPLHPLH()2PHLPLHpdttt 觸發(fā)器的分類: 按邏輯功能不同:RS觸發(fā)器、D觸發(fā)器、JK觸發(fā)器、T觸發(fā)器和T觸發(fā)器等。 按觸發(fā)方式不同:電平觸發(fā)器、邊沿觸發(fā)器和脈沖觸發(fā)器等。 按電路結(jié)構(gòu)不同:基本RS觸發(fā)器,同步觸發(fā)器、維持阻塞觸發(fā)器、主從觸發(fā)器和邊沿觸發(fā)器等。 觸發(fā)器的邏輯功能通常用功能表、狀態(tài)表、狀態(tài)圖、特征表、特征方程、時(shí)序圖等表示。兩互補(bǔ)輸出端兩互補(bǔ)輸出端兩輸入端兩輸入端&a

10、mp;.G1&.G2反饋線反饋線1、電路結(jié)構(gòu)、電路結(jié)構(gòu).G1&.&G2QQSDRD2、基本工作原理、基本工作原理101設(shè)觸發(fā)器原態(tài)設(shè)觸發(fā)器原態(tài)為為“1”態(tài)。態(tài)。翻轉(zhuǎn)為翻轉(zhuǎn)為“0”態(tài)態(tài)(1) SD=1,RD = 0.G1&.&G2設(shè)原態(tài)為設(shè)原態(tài)為“0”態(tài)態(tài)10觸發(fā)器保持觸發(fā)器保持“0”態(tài)不變態(tài)不變復(fù)位復(fù)位 結(jié)論結(jié)論: 不論不論 觸發(fā)器原來觸發(fā)器原來 為何種狀態(tài),為何種狀態(tài), 當(dāng)當(dāng) SD=1, RD=0時(shí)時(shí), 將使將使觸發(fā)器觸發(fā)器 置置“0”或稱或稱 為為復(fù)位復(fù)位。.G1&.&G2設(shè)原態(tài)為設(shè)原態(tài)為“0”態(tài)態(tài)0翻轉(zhuǎn)為翻轉(zhuǎn)為“1”態(tài)態(tài)(2) SD

11、=0,RD = 1.G1&.&G2設(shè)原態(tài)為設(shè)原態(tài)為“1”態(tài)態(tài)01觸發(fā)器保持觸發(fā)器保持“1”態(tài)不變態(tài)不變置位置位 結(jié)論結(jié)論: 不論不論 觸發(fā)器原來觸發(fā)器原來 為何種狀態(tài),為何種狀態(tài), 當(dāng)當(dāng) SD=0, RD=1時(shí)時(shí), 將使將使觸發(fā)器觸發(fā)器 置置“1”或稱或稱 為為置位置位。.G1&.&G2設(shè)原態(tài)為設(shè)原態(tài)為“0”態(tài)態(tài)1保持為保持為“0”態(tài)態(tài)(3) SD=1,RD = 1.G1&.&G2設(shè)原態(tài)為設(shè)原態(tài)為“1”態(tài)態(tài)11觸發(fā)器保持觸發(fā)器保持“1”態(tài)不變態(tài)不變 當(dāng)當(dāng) SD=1, RD=1時(shí)時(shí), 觸發(fā)器保持觸發(fā)器保持 原來的狀態(tài),原來的狀態(tài), 即即觸發(fā)器具觸發(fā)

12、器具 有保持、記有保持、記 憶功能憶功能。.G1&.&G21100“1”態(tài)態(tài)(4) SD=0,RD = 0.G1&.&G2 當(dāng)信號(hào)當(dāng)信號(hào)SD= RD = 0時(shí),兩個(gè)與非門時(shí),兩個(gè)與非門的輸出都為的輸出都為1,這,這就達(dá)不到就達(dá)不到。110011111110若若G1先翻轉(zhuǎn),則觸發(fā)器為先翻轉(zhuǎn),則觸發(fā)器為“0”態(tài)態(tài)“1”態(tài)態(tài)(4) SD=0,RD = 0 當(dāng)信號(hào)當(dāng)信號(hào)SD= RD = 0再同時(shí)變?yōu)樵偻瑫r(shí)變?yōu)?時(shí),時(shí),由于與非門的翻由于與非門的翻轉(zhuǎn)時(shí)間不可能完轉(zhuǎn)時(shí)間不可能完全相同,觸發(fā)器全相同,觸發(fā)器狀態(tài)可能是狀態(tài)可能是“1”態(tài),也可能是態(tài),也可能是“0”態(tài),不能根據(jù)輸

13、態(tài),不能根據(jù)輸入信號(hào)確定。入信號(hào)確定。.G1&.&G210 11011000100111QQ輸出輸出原狀態(tài)不變?cè)瓲顟B(tài)不變 狀態(tài)不定狀態(tài)不定RDSD 11011000100111QQ輸出輸出原狀態(tài)不變?cè)瓲顟B(tài)不變 狀態(tài)不定狀態(tài)不定RDSD 11011000100111QQ輸出輸出原狀態(tài)不變?cè)瓲顟B(tài)不變 狀態(tài)不定狀態(tài)不定RDSD1.1.功能表功能表R S1nQ次態(tài)次態(tài) 1nQ現(xiàn)態(tài)現(xiàn)態(tài) nQ0 0d0 101 011 1Q約束條件約束條件 11011000100111QQ輸出輸出原狀態(tài)不變?cè)瓲顟B(tài)不變 狀態(tài)不定狀態(tài)不定RDSD2.2.狀態(tài)表狀態(tài)表1nQnQ00RS 10RS 01RS 11

14、RS 0 1d d0 01 10 1約束約束條件條件 11011000100111QQ輸出輸出原狀態(tài)不變?cè)瓲顟B(tài)不變 狀態(tài)不定狀態(tài)不定RDSD3.3.狀態(tài)圖狀態(tài)圖01RS100101/111/1/10/11S nQR1nQS nQR1 nQ 11011000100111QQ輸出輸出原狀態(tài)不變?cè)瓲顟B(tài)不變 狀態(tài)不定狀態(tài)不定RDSD4.4.特性表特性表 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1不定001101 約束約束 條件條件 11011000100111QQ輸出輸出原狀態(tài)不變?cè)瓲顟B(tài)不變 狀態(tài)不定狀態(tài)不定RDSD5.5.特性方程特性方程11nnQ

15、SRQRS Qn0001111000011011RS次態(tài)Qn+1的卡諾圖約束條件約束條件4.4.波形圖波形圖 例例 設(shè)下圖中觸發(fā)器初始狀態(tài)為設(shè)下圖中觸發(fā)器初始狀態(tài)為 0,試對(duì)應(yīng)輸入波形畫出試對(duì)應(yīng)輸入波形畫出 Q 和和 Q 的波形。的波形。解:解:QQSDRDSRSDRD保持保持初態(tài)為初態(tài)為 0,故保持為,故保持為 0。置置 0 保持保持QQ置置 11.1.功能表功能表2.2.狀態(tài)表狀態(tài)表 3.3.狀態(tài)圖狀態(tài)圖4.4.特性表特性表 5.5.特性方程特性方程6.6.波形圖波形圖?SDRDQQ&11功功能能表表Qn11101010不定不定00Qn+1SDRD不定不定11001110Qn00Q

16、n+1SDRDQQSDRDSRQQSDRDSR邏邏輯輯符符號(hào)號(hào)置置 0、置、置1 信信號(hào)號(hào)低電平有效低電平有效置置 0、置、置1 信信號(hào)號(hào)高電平有效高電平有效注注意意?正常狀態(tài):無故障信號(hào),正常狀態(tài):無故障信號(hào),V VI I0 0為低電平,清音按鈕未按下為低電平,清音按鈕未按下故障狀態(tài):故障狀態(tài): V VI I由由0101,若清音按鈕未按下,若清音按鈕未按下0 01 10 01 11&11音響電路VIGFEDCBARQQ+5V清清音音開開關(guān)關(guān)故障信號(hào)故障信號(hào)1 10 01 1此時(shí)音響電路不發(fā)聲此時(shí)音響電路不發(fā)聲0 01 11 10 01 11 11 10 01 1此時(shí)音響電路發(fā)聲報(bào)警此

17、時(shí)音響電路發(fā)聲報(bào)警聽到報(bào)警聲后,按下清音按鈕聽到報(bào)警聲后,按下清音按鈕0 01 11 10 00 01 10 0報(bào)警聲消失報(bào)警聲消失待故障信號(hào)待故障信號(hào)0 0,觸發(fā)器返回,觸發(fā)器返回1 1狀態(tài)狀態(tài)例例2 2 聲報(bào)警控制電路聲報(bào)警控制電路應(yīng)用舉例應(yīng)用舉例 例例3 3 消除機(jī)械開關(guān)振動(dòng)引起的抖動(dòng)現(xiàn)象消除機(jī)械開關(guān)振動(dòng)引起的抖動(dòng)現(xiàn)象 S 由由 B 到到 A S 由由 A 到到 B A B Q SR開關(guān)接開關(guān)接 B開關(guān)接開關(guān)接 A懸空時(shí)間懸空時(shí)間接接A振動(dòng)振動(dòng) 懸空時(shí)間懸空時(shí)間接接 B振動(dòng)振動(dòng)SRSDQQ&RD&CPSR1G2G3G4G 1RS SDQQ&RD&CPSR1

18、G2G3G4G 不定不定110011 10Qn00Qn+1SRRS功能功能 R、S 信號(hào)信號(hào)高電平有效高電平有效 QQ1SC11RCP R S QnQn+1功能0 QnnnQQ1 保持1 0 0 01 0 0 101nnQQ1 保持1 0 1 01 0 1 11111nQ 置 11 1 0 01 1 0 10001nQ 置 01 1 1 01 1 1 1不用不用不允許特特性性表表10 nnQSRQRS(約束)CP=1期間有效期間有效CPRSQ Q(1)(1)同步同步RSRS觸發(fā)器的狀態(tài)轉(zhuǎn)換分別由觸發(fā)器的狀態(tài)轉(zhuǎn)換分別由R R、S S和和CPCP控制,其中,控制,其中,R R、S S控制狀態(tài)轉(zhuǎn)換的

19、方向;控制狀態(tài)轉(zhuǎn)換的方向;CPCP控制狀態(tài)轉(zhuǎn)換的時(shí)刻??刂茽顟B(tài)轉(zhuǎn)換的時(shí)刻。(2)(2)同步同步RSRS觸發(fā)器在觸發(fā)器在CP=1CP=1期間接收輸入信號(hào)進(jìn)行工作期間接收輸入信號(hào)進(jìn)行工作,CP=0,CP=0時(shí)時(shí)停止。可用一個(gè)停止??捎靡粋€(gè)CPCP控制多個(gè)觸發(fā)器工作,抗干擾能力強(qiáng)于基控制多個(gè)觸發(fā)器工作,抗干擾能力強(qiáng)于基本型。本型。(4)(4)觸發(fā)器的翻轉(zhuǎn)只是被控制在一個(gè)時(shí)間間隔內(nèi),而不是控制在觸發(fā)器的翻轉(zhuǎn)只是被控制在一個(gè)時(shí)間間隔內(nèi),而不是控制在某個(gè)時(shí)刻。有某個(gè)時(shí)刻。有空翻空翻現(xiàn)象?,F(xiàn)象。由于由于CP=1CP=1期間,期間,GG3 3、GG4 4門都是開著的,都能接收門都是開著的,都能接收R R、S

20、S信號(hào),信號(hào),所以,若在所以,若在CP=1CP=1期間期間R R、S S發(fā)生多次變化,則觸發(fā)器的狀態(tài)發(fā)生多次變化,則觸發(fā)器的狀態(tài)也可能發(fā)生多次翻轉(zhuǎn)。也可能發(fā)生多次翻轉(zhuǎn)。在一個(gè)時(shí)鐘脈沖周期中,觸發(fā)器發(fā)生多次翻轉(zhuǎn)的現(xiàn)象叫做空翻。在一個(gè)時(shí)鐘脈沖周期中,觸發(fā)器發(fā)生多次翻轉(zhuǎn)的現(xiàn)象叫做空翻。&CP3GG&GG12QQSRCPSRQ有效翻轉(zhuǎn)空翻缺缺點(diǎn)點(diǎn)QQ1SC11R10 nnQSRQRS(約束)1DQQ1SC11R1DQQ1DDC1CP nQ0 DCP101nQ0110QQ1SC11R1DQQ1DDC1CP10 nQ DCP101nQ0101+1nQ1.1.功能表功能表1nQ01 01D1

21、nQnQ0D 1D 0 10 01 12.2.狀態(tài)表狀態(tài)表01 D10 03.3.狀態(tài)圖狀態(tài)圖4.4.特性表特性表nQD1nQ 0 0 0 0 1 0 1 0 1 1 1 11nnnQDQDQD5.5.特性方程特性方程6.6.波形圖波形圖Q DCPQQQ1SC11R QQ1DDC1CP ?QQ1SC11R111RS QQ與門與門或門或門&01011010KJQQ1JJC1CP1KKQQ1SC11R&KJ1說明說明Qn+1KJCP不變不變Qn00置置 0010翻轉(zhuǎn)翻轉(zhuǎn)11置置 1101不變不變Qn0Qn0000010Q100Q11QQ2.2.狀態(tài)表狀態(tài)表 1nQnQ00JK 10

22、JK 01JK 11JK 0 10 10 01 11 001/113.3.狀態(tài)圖狀態(tài)圖01JK10/1100/0100/10 0 0 0 0 1 0 1 0 1 1 1 14.4.特性表特性表nQJK1nQ 0 0 0 0 0 0 1 1 0 1 0 0 0 1 1 0 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 0 Qn000111100001111001JK次態(tài)Qn+1的卡諾圖1nnnQJQKQ5.5.特性方程特性方程 0 0 0 0 1 0 1 0 1 1 1 14.4.特性表特性表nQJK1nQ 0 0 0 0 0 0 1 1 0 1 0 0 0 1 1 0 1 0 0

23、 1 1 0 1 1 1 1 0 1 1 1 1 06.6.波形圖波形圖 Q JCPKQ?QQ1J C1 1K1說明說明Qn+1KJCP不變不變Qn00置置 0010翻轉(zhuǎn)翻轉(zhuǎn)11置置 1101不變不變Qn0QnJ=KTCPQQ1TTC1CP1.1.功能表功能表 1nQ01 TnQnQ1nQnQ0T 1T 0 10 11 02.2.狀態(tài)表狀態(tài)表01 T11 03.3.狀態(tài)圖狀態(tài)圖 04.4.特性表特性表 nQT1nQ 0 0 0 0 1 1 1 0 1 1 1 01nnnnQTQTQTQ5.5.特性方程特性方程 6.6.波形圖波形圖 Q TCPQCPJKQ一個(gè)時(shí)鐘周期中,觸發(fā)器發(fā)生多次翻轉(zhuǎn)的現(xiàn)象

24、叫空翻。一個(gè)時(shí)鐘周期中,觸發(fā)器發(fā)生多次翻轉(zhuǎn)的現(xiàn)象叫空翻。QQ1J C1CP1KQQ1J C1CP1K1JKQ主主Q主主Q從從Q從從給主從觸發(fā)器提供給主從觸發(fā)器提供反相的時(shí)鐘信號(hào),反相的時(shí)鐘信號(hào),使它們?cè)诓煌臅r(shí)使它們?cè)诓煌臅r(shí)段交替工作。段交替工作。101nnnQJQKQ主封鎖封鎖工作工作QQ1JC1CP1KQQ1JC1CP1K1JKQ主主Q主主Q從從Q從從011nnQQ從主,nnJQKQ主主工作工作封鎖封鎖1nnnQJQKQ主01CP010(1)J=1, K=1 設(shè)觸發(fā)器原設(shè)觸發(fā)器原態(tài)為態(tài)為“0”態(tài)態(tài)翻轉(zhuǎn)為翻轉(zhuǎn)為“1”態(tài)態(tài)11 011010100101RS CPF從從QQQQSDRD1R C

25、PF主主QJKQSCP CP010(1)J=1,K=110設(shè)觸發(fā)器原設(shè)觸發(fā)器原態(tài)為態(tài)為“1”態(tài)態(tài)為為“?”狀態(tài)狀態(tài)J=1, K=1時(shí),每來時(shí),每來一個(gè)時(shí)鐘脈沖,狀一個(gè)時(shí)鐘脈沖,狀態(tài)翻轉(zhuǎn)一次,態(tài)翻轉(zhuǎn)一次,即具即具有計(jì)數(shù)功能。有計(jì)數(shù)功能。(1)J=1, K=1RS CPF從從QQQQSDRD1R CPF主主QJKQSCP 01RS CPF從從QQQQSDRD1R CPF主主QJKQSCP CP010(2)J=0,K=1 設(shè)觸發(fā)器原設(shè)觸發(fā)器原態(tài)為態(tài)為“1”態(tài)態(tài)翻轉(zhuǎn)為翻轉(zhuǎn)為“0”態(tài)態(tài)01 100101011001設(shè)觸發(fā)器原設(shè)觸發(fā)器原態(tài)為態(tài)為“0”態(tài)態(tài)為為“?”態(tài)態(tài)01CP010(3)J=1,K=0 設(shè)

26、觸發(fā)器原設(shè)觸發(fā)器原態(tài)為態(tài)為“0”態(tài)態(tài)翻轉(zhuǎn)為翻轉(zhuǎn)為“1”態(tài)態(tài)10 011010100101設(shè)觸發(fā)器原設(shè)觸發(fā)器原態(tài)為態(tài)為“1”態(tài)態(tài)為為“?”態(tài)態(tài)RS CPF從從QQQQSDRD1R CPF主主QJKQSCP CP010(4)J=0,K=0 設(shè)觸發(fā)器原設(shè)觸發(fā)器原態(tài)為態(tài)為“0”態(tài)態(tài)保持原態(tài)保持原態(tài)00 010001RS CPF從從QQQQSDRD1R CPF主主QJKQSCP CP01001nQJS nKQR CP高電平時(shí)高電平時(shí)F主主狀態(tài)狀態(tài)由由J、K決定,決定,F(xiàn)從從狀狀態(tài)不變。態(tài)不變。CP下降沿下降沿( )觸發(fā)觸發(fā)器翻轉(zhuǎn)器翻轉(zhuǎn)( F從從狀態(tài)與狀態(tài)與F主主狀態(tài)一致)。狀態(tài)一致)。RS CPF從從Q

27、QQQSDRD1R CPF主主QJKQSCP QQ1JJC1CP1RK1J C1CP1K1J C1CP1K1JKQ從從Q從從Q主主Q主主表示時(shí)鐘觸發(fā)沿為下降沿表示時(shí)鐘觸發(fā)沿為下降沿 表示表示主從主從觸發(fā)觸發(fā) 特性方程:特性方程:將將代入上式,得到代入上式,得到JKJK觸發(fā)觸發(fā)器的特性方程:器的特性方程:主從主從RSRS觸發(fā)器觸發(fā)器 J CP K & & & & & & & & 1 & & G8 G7 G10 G11 G9 G3 G1 G2 G4 G6 G5 Q Q Q Q SR主從主從JK觸發(fā)器電路結(jié)構(gòu)觸發(fā)器電路結(jié)

28、構(gòu)n1nQRSQ 0SRQRSQn1n nnKQRQJSnnnQKQQJ nnQKQJ 工作波形工作波形 1J C1 1K Q Q J CP K CP J K Q 1 0 0 1 1 1 0 0 下降沿觸發(fā)翻轉(zhuǎn)下降沿觸發(fā)翻轉(zhuǎn)已知已知CPCP、J J、K K的波的波形,試畫出輸出端形,試畫出輸出端 Q Q 的波形。設(shè)觸發(fā)的波形。設(shè)觸發(fā)器的初態(tài)為器的初態(tài)為0 0 。 在在CP脈沖的高脈沖的高電平期間將輸電平期間將輸入信號(hào)存儲(chǔ)于入信號(hào)存儲(chǔ)于主觸發(fā)器主觸發(fā)器在在CP脈沖的下降沿到來時(shí)翻轉(zhuǎn)脈沖的下降沿到來時(shí)翻轉(zhuǎn) CP D L Q Q 例例1 1 主從主從JKJK觸發(fā)器組成的電路及其輸入信號(hào)觸發(fā)器組成的電

29、路及其輸入信號(hào)CPCP、D D的波形分別如圖所示,的波形分別如圖所示,設(shè)觸發(fā)器的初態(tài)為設(shè)觸發(fā)器的初態(tài)為1 1,試畫出輸出端,試畫出輸出端L L的波形。的波形。J(K)解:解:J J、K K互補(bǔ)互補(bǔ)=D=D, , 所以輸出同所以輸出同J J(D D)端。)端。 QCPL 上升沿觸發(fā)上升沿觸發(fā)在在CPCP脈沖的低電平期間將輸脈沖的低電平期間將輸入信號(hào)存儲(chǔ)于主觸發(fā)器。入信號(hào)存儲(chǔ)于主觸發(fā)器。在在CPCP脈沖上升脈沖上升沿到來時(shí)翻轉(zhuǎn)沿到來時(shí)翻轉(zhuǎn) CP J K Q 1 2 3 4 5 6 7 例例2 2 設(shè)負(fù)跳沿觸發(fā)的主從設(shè)負(fù)跳沿觸發(fā)的主從JKJK觸發(fā)器的觸發(fā)器的CPCP和和J J、K K信號(hào)的波形如圖所

30、示,畫出輸信號(hào)的波形如圖所示,畫出輸出端出端QQ的波形。設(shè)觸發(fā)器的初始狀態(tài)為的波形。設(shè)觸發(fā)器的初始狀態(tài)為0 0。 在在CP脈沖的高電平期間將輸脈沖的高電平期間將輸入信號(hào)存儲(chǔ)于主觸發(fā)器。入信號(hào)存儲(chǔ)于主觸發(fā)器。在在CPCP脈沖的下降沿脈沖的下降沿到來時(shí)翻轉(zhuǎn)到來時(shí)翻轉(zhuǎn) 主從主從JKJK觸發(fā)器存在的問題是:有觸發(fā)器存在的問題是:有“一次翻轉(zhuǎn)一次翻轉(zhuǎn)”現(xiàn)象。它只在以下兩種情況下產(chǎn)生:現(xiàn)象。它只在以下兩種情況下產(chǎn)生:1 1、當(dāng)、當(dāng)Q=0 (CP=1)Q=0 (CP=1)時(shí),時(shí),J J 端有正向干擾端有正向干擾2 2、當(dāng)、當(dāng)Q=1 (CP=1)Q=1 (CP=1)時(shí),時(shí),K K 端有正向干擾端有正向干擾 J

31、 CP K & & & & & & & & 1 & & G8 G7 G10 G11 G9 G3 G1 G2 G4 G6 G5 Q Q Q Q 主主RSRS觸發(fā)器觸發(fā)器從從RSRS觸發(fā)器觸發(fā)器QQn n0 0時(shí),一次變化只能發(fā)生于時(shí),一次變化只能發(fā)生于J J端,且當(dāng)端,且當(dāng)J J端有正向干擾端有正向干擾的時(shí)候(的時(shí)候(0101)。)。QQn n0 0 ”置“置“保持保持11Q0JQJS0Rnn, J CP K & & & & & & & & 1 &

32、; & G8 G7 G10 G11 G9 G3 G1 G2 G4 G6 G5 Q Q Q Q 主主RSRS觸發(fā)器觸發(fā)器從從RSRS觸發(fā)器觸發(fā)器QQn n1 1時(shí),一次變化只能發(fā)生于時(shí),一次變化只能發(fā)生于K K端,且當(dāng)端,且當(dāng)K K端有正向干擾端有正向干擾的時(shí)候(的時(shí)候(0101)。)。QQn n1 1 ”清“清“保持保持01Q0KQKR0Snn, J CP K & & & & & & & & 1 & & G8 G7 G10 G11 G9 G3 G1 G2 G4 G6 G5 Q Q Q Q 主主RSRS觸發(fā)器

33、觸發(fā)器從從RSRS觸發(fā)器觸發(fā)器一次翻轉(zhuǎn)問題限制了主從一次翻轉(zhuǎn)問題限制了主從JKJK觸發(fā)器的使用,也降低了它的抗干擾能力。在觸發(fā)器的使用,也降低了它的抗干擾能力。在CP=1CP=1期間,可能會(huì)由于干擾而使得觸發(fā)器有錯(cuò)誤動(dòng)作,故對(duì)于主從期間,可能會(huì)由于干擾而使得觸發(fā)器有錯(cuò)誤動(dòng)作,故對(duì)于主從JKJK觸發(fā)器,觸發(fā)器,一般要求在一般要求在CP=1CP=1期間,期間,J J、K K信號(hào)保持不變或信號(hào)保持不變或CPCP為窄脈沖為窄脈沖 CP J K Q 1 2 3 4 5 6 7 C P0t J0t K0t Q0t Q0t123478910例例3 3 已知主從已知主從JKJK觸發(fā)器觸發(fā)器J J、K K的波形

34、如圖所示,畫出輸出的波形如圖所示,畫出輸出QQ的波形圖的波形圖 (設(shè)初始狀態(tài)為(設(shè)初始狀態(tài)為0 0)。)。CPJK123456Q(1 1)觸發(fā)器的觸發(fā)翻轉(zhuǎn)發(fā)生在時(shí)鐘脈沖的觸發(fā)沿)觸發(fā)器的觸發(fā)翻轉(zhuǎn)發(fā)生在時(shí)鐘脈沖的觸發(fā)沿(2 2)判斷觸發(fā)器次態(tài)的依據(jù)是時(shí)鐘脈沖下降沿前一瞬間輸入端的狀態(tài)。)判斷觸發(fā)器次態(tài)的依據(jù)是時(shí)鐘脈沖下降沿前一瞬間輸入端的狀態(tài)。畫主從觸發(fā)器的波形圖時(shí)的依據(jù):畫主從觸發(fā)器的波形圖時(shí)的依據(jù):主從主從JKJK觸發(fā)器的特點(diǎn):觸發(fā)器的特點(diǎn):(1 1)主從觸發(fā)器的觸發(fā)翻轉(zhuǎn)分為兩個(gè)節(jié)拍:)主從觸發(fā)器的觸發(fā)翻轉(zhuǎn)分為兩個(gè)節(jié)拍:(2 2)對(duì)于主從)對(duì)于主從JKJK觸發(fā)器而言,不存在約束現(xiàn)象觸發(fā)器而言

35、,不存在約束現(xiàn)象當(dāng)當(dāng)CPCP1 1時(shí),時(shí),CPCP0 0,從觸發(fā)器被封鎖,保持原狀態(tài)不變,從觸發(fā)器被封鎖,保持原狀態(tài)不變; ;主觸發(fā)器工主觸發(fā)器工作,接收作,接收J(rèn)KJK端的輸入信號(hào)。端的輸入信號(hào)。當(dāng)當(dāng)CPCP由由1 1躍變到躍變到0 0時(shí),即時(shí),即CP=0CP=0、CPCP1 1。主觸發(fā)器被封鎖,輸入信號(hào)。主觸發(fā)器被封鎖,輸入信號(hào)JKJK不再影響主觸發(fā)器的狀態(tài);從觸發(fā)器工作,接收主觸發(fā)器輸出端的狀不再影響主觸發(fā)器的狀態(tài);從觸發(fā)器工作,接收主觸發(fā)器輸出端的狀態(tài)。態(tài)。(3 3)無空翻現(xiàn)象。)無空翻現(xiàn)象。CPCP一旦變?yōu)橐坏┳優(yōu)? 0后,主觸發(fā)器被封鎖,其狀態(tài)不再受后,主觸發(fā)器被封鎖,其狀態(tài)不再受

36、J J、K K影響,因此不會(huì)影響,因此不會(huì)有空翻現(xiàn)象。有空翻現(xiàn)象。(4 4)存在一次變化問題)存在一次變化問題主從主從JKJK觸發(fā)器在觸發(fā)器在CP=1CP=1期間,主觸發(fā)器只變化(翻轉(zhuǎn))一次,這期間,主觸發(fā)器只變化(翻轉(zhuǎn))一次,這種現(xiàn)象稱為一次翻轉(zhuǎn)現(xiàn)象。種現(xiàn)象稱為一次翻轉(zhuǎn)現(xiàn)象。QQ1JJC1CP1RKQQ1JJC1CP1KKCP 主從觸發(fā)器,若是負(fù)跳變沿觸發(fā)的主從觸發(fā)器,它們?cè)诠ぷ鲿r(shí)必須在正主從觸發(fā)器,若是負(fù)跳變沿觸發(fā)的主從觸發(fā)器,它們?cè)诠ぷ鲿r(shí)必須在正跳變沿跳變沿前前加入輸入信號(hào),如果在加入輸入信號(hào),如果在CP=1CP=1的期間,輸入端出現(xiàn)干擾,就有可能使的期間,輸入端出現(xiàn)干擾,就有可能使觸發(fā)

37、器狀態(tài)出錯(cuò)。觸發(fā)器狀態(tài)出錯(cuò)。 邊沿觸發(fā)器的電路結(jié)構(gòu)可以克服這一缺點(diǎn)。它大大提高了觸發(fā)器抗邊沿觸發(fā)器的電路結(jié)構(gòu)可以克服這一缺點(diǎn)。它大大提高了觸發(fā)器抗干擾能力和電路的可靠性。干擾能力和電路的可靠性。 邊沿觸發(fā)器有兩種工作方式:邊沿觸發(fā)器有兩種工作方式: 維持維持- -阻塞邊沿觸發(fā)器阻塞邊沿觸發(fā)器 * *利用傳輸延遲的邊沿觸發(fā)器利用傳輸延遲的邊沿觸發(fā)器 RD D SD & & & & & & G5 G6 G3 G4 G1 G2 Q Q CP 邏輯符號(hào)邏輯符號(hào)基本基本RSRS觸發(fā)器觸發(fā)器1 10 01 10 00 01 11 11 11 11 11 11

38、 11 10 0SD、RD分別為直接置分別為直接置1、置、置0 端,低電平有效。端,低電平有效。 0 01 11 11 10 00 0D D觸發(fā)器置觸發(fā)器置1 1D D觸發(fā)器置觸發(fā)器置0 0直接置直接置0端端直接置直接置1端端若若CP=0CP=0,G3G3門輸出:門輸出: “1”1”若若CP=1CP=1,G3G3門輸出:門輸出: “1”1” 工作原理工作原理 ( (不考慮不考慮S SD D、R RD D時(shí)時(shí)) ) D & & & & & & G5 G6 G3 G4 G1 G2 Q Q CP Q5 Q6 Q3 Q4 &0 01 11 11

39、11 1QQn+1n+1=Q=Qn n&D DD D當(dāng)當(dāng)CP = 0CP = 0時(shí)時(shí) DQ5 DQ6 在在S SD D=R=RD D =1 =1 的前提下,的前提下, D & & & & & & G5 G6 G3 G4 G1 G2 Q Q CP Q5 Q6 Q3 Q4 當(dāng)當(dāng)CPCP由由0 0變變1 1時(shí)時(shí)DQ1n DQ1n &D DD DD DD DD DD D在在CPCP脈沖的上升沿產(chǎn)生狀態(tài)變化脈沖的上升沿產(chǎn)生狀態(tài)變化 D & & & & & & G5 G6 G3 G4 G1 G2

40、 Q Q CP Q5 Q6 Q3 Q4 2 2、工作原理、工作原理 CP=1CP=1期間期間&D DD D1 1門門3,43,4開開,Q,Q3 3,Q,Q4 4輸出互補(bǔ),必定有一個(gè)為輸出互補(bǔ),必定有一個(gè)為0 0。若。若QQ3 3=0=0, QQ4 4=1 =1 0 01 11 10 01 1置置0 0維持線,置維持線,置1 1阻塞線阻塞線0 00 0維持阻塞作用一旦產(chǎn)生之后(此作用一直保持到維持阻塞作用一旦產(chǎn)生之后(此作用一直保持到CPCP下降沿到來時(shí)為止),下降沿到來時(shí)為止),D D信號(hào)就失去作用信號(hào)就失去作用 D & & & & & &

41、; G5 G6 G3 G4 G1 G2 Q Q CP Q5 Q6 Q3 Q4 2 2、工作原理、工作原理 CP=1CP=1期間期間&D DD D1 1若若QQ3 3=1=1, QQ4 4=0=0 1 10 00 01 1&1 11 1方向方向2 2:保證:保證QQ6 6=1=1,維持,維持Q4Q40 0,置,置1 1維持線維持線方向方向3 3:阻止:阻止QQ3 3為為0 0,置,置0 0阻塞線阻塞線方向方向1 1:使觸發(fā)器置:使觸發(fā)器置“1”1”0 0維持阻塞作用一旦產(chǎn)生之后(此作用一直保持到維持阻塞作用一旦產(chǎn)生之后(此作用一直保持到CPCP下降沿到來時(shí)為止),下降沿到來時(shí)為止

42、),D D信號(hào)就失去作用信號(hào)就失去作用 維持維持- -阻塞阻塞D D觸發(fā)器在觸發(fā)器在CPCP脈沖的上升沿脈沖的上升沿前前接受信息,接受信息,上升沿上升沿時(shí)時(shí)觸發(fā)翻轉(zhuǎn),上升沿觸發(fā)翻轉(zhuǎn),上升沿后后封鎖輸入,此即邊沿觸發(fā)封鎖輸入,此即邊沿觸發(fā)器的特點(diǎn)。器的特點(diǎn)。 RD D SD & & & & & & G5 G6 G3 G4 G1 G2 Q Q CP 觸發(fā)方式觸發(fā)方式畫觸發(fā)器輸出波形圖總結(jié):畫觸發(fā)器輸出波形圖總結(jié):一、單個(gè)觸發(fā)器畫輸出波形一、單個(gè)觸發(fā)器畫輸出波形三、兩個(gè)或多個(gè)觸發(fā)器畫輸出波形三、兩個(gè)或多個(gè)觸發(fā)器畫輸出波形二、入端有組合電路的觸發(fā)器畫輸出

43、波形二、入端有組合電路的觸發(fā)器畫輸出波形一、單個(gè)觸發(fā)器畫輸出波形一、單個(gè)觸發(fā)器畫輸出波形例例1 1 基本觸發(fā)器的邏輯符號(hào)與輸入波形如圖所示。試作出基本觸發(fā)器的邏輯符號(hào)與輸入波形如圖所示。試作出 QQ、QQ的波形。的波形。SDRDQQ例例2 2 單個(gè)觸發(fā)器的邏輯結(jié)構(gòu)與輸入波形如圖所示。試作出輸出波形。單個(gè)觸發(fā)器的邏輯結(jié)構(gòu)與輸入波形如圖所示。試作出輸出波形。CPCP1 12 23 34 45 5A AB BQ Q2 2Q Q3 3Q Q2 2“0 0”“1 1”置置1翻轉(zhuǎn)翻轉(zhuǎn)保持保持翻轉(zhuǎn)翻轉(zhuǎn)翻轉(zhuǎn)翻轉(zhuǎn)例例3 3 入端有組合電路的觸發(fā)器的邏輯結(jié)構(gòu)與輸入波形如圖所示。試作出輸出波形入端有組合電路的觸發(fā)器的邏輯結(jié)構(gòu)與輸入波形如圖所示。試作出輸出波形(初態(tài)為(初態(tài)為“0”0”)。)。Q Q1 1AB/JAB/J“0 0”Q Q2 2“0 0”初態(tài)初態(tài)保持保持置置0保持保持翻轉(zhuǎn)翻轉(zhuǎn)置置0保持保持二、入端有組合電路的觸發(fā)器畫輸出波形二、入端有組合電路的觸發(fā)器畫輸出波形CPCP1 12 23 34 45 56 6B BA/KA/K例例4 4 兩觸發(fā)器構(gòu)成的邏輯電路與輸入波形如圖所示。試作出輸出波形(初態(tài)為兩觸發(fā)器構(gòu)成的邏輯電路與輸入波形如圖所示。試作出輸出波形(初態(tài)為“0”0”)。)。CPC

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論