第6章 串行接口_第1頁
第6章 串行接口_第2頁
第6章 串行接口_第3頁
第6章 串行接口_第4頁
第6章 串行接口_第5頁
已閱讀5頁,還剩67頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

1、第6章 串行接口單片機原理與接口技術本章分為三節(jié),主要介紹:6.2 80C51的串行口的串行口6.1 計算機串行通信基礎計算機串行通信基礎6.3 單片機串行口應用舉例單片機串行口應用舉例第6章 串行接口單片機原理與接口技術6.1 計算機串行通信基礎 隨著多微機系統(tǒng)的廣泛應用和計算機網(wǎng)絡隨著多微機系統(tǒng)的廣泛應用和計算機網(wǎng)絡技術的普及,計算機的通信功能愈來愈顯技術的普及,計算機的通信功能愈來愈顯得重要。得重要。計算機通信計算機通信是指計算機與外部設是指計算機與外部設備或計算機與計算機之間的信息交換備或計算機與計算機之間的信息交換。通信有通信有并行通信并行通信和和串行通信串行通信兩種方式。在兩種方式

2、。在多微機系統(tǒng)以及現(xiàn)代測控系統(tǒng)中信息的交多微機系統(tǒng)以及現(xiàn)代測控系統(tǒng)中信息的交換多采用串行通信方式。換多采用串行通信方式。第6章 串行接口單片機原理與接口技術計算機通信計算機通信是將計算機技術和通信技術的相結合,是將計算機技術和通信技術的相結合,完成計算機與外部設備或計算機與計算機之間的信完成計算機與外部設備或計算機與計算機之間的信息交換息交換 ??梢?。可以分為兩大類:并行通信與串行通信。分為兩大類:并行通信與串行通信。并行通信并行通信通常是將數(shù)據(jù)字節(jié)的各位用多條數(shù)據(jù)線同通常是將數(shù)據(jù)字節(jié)的各位用多條數(shù)據(jù)線同時進行傳送時進行傳送 。并行通信并行通信控制簡單、傳輸速度快;由于傳輸線較多,長距離控制簡

3、單、傳輸速度快;由于傳輸線較多,長距離傳送時成本高且接收方的各位同時接收存在困難。傳送時成本高且接收方的各位同時接收存在困難。 第6章 串行接口單片機原理與接口技術串行通信串行通信是將數(shù)據(jù)字節(jié)分成一位一位的形式在一條傳輸線上逐個地傳送。串行通信的特點串行通信的特點:傳輸線少,長距離傳送時:傳輸線少,長距離傳送時成本低,且可以利用電話網(wǎng)等現(xiàn)成的設備,成本低,且可以利用電話網(wǎng)等現(xiàn)成的設備,但數(shù)據(jù)的傳送控制比并行通信復雜。但數(shù)據(jù)的傳送控制比并行通信復雜。 第6章 串行接口單片機原理與接口技術6.1.1 6.1.1 串行通信的基本概念串行通信的基本概念 一、異步通信與同步通信一、異步通信與同步通信1、

4、異步通信、異步通信 異步通信異步通信是指通信的是指通信的發(fā)送與接收設備使用各自的時鐘發(fā)送與接收設備使用各自的時鐘控控制數(shù)據(jù)的發(fā)送和接收過程。為使雙方的收發(fā)協(xié)調,要求發(fā)制數(shù)據(jù)的發(fā)送和接收過程。為使雙方的收發(fā)協(xié)調,要求發(fā)送和接收設備的時鐘盡可能一致。送和接收設備的時鐘盡可能一致。 第6章 串行接口單片機原理與接口技術 異步通信是異步通信是以字符(構成的幀)為以字符(構成的幀)為單位進行傳輸單位進行傳輸,字符與字符之間的間,字符與字符之間的間隙(時間間隔)是任意的,但每個字隙(時間間隔)是任意的,但每個字符中的各位是以固定的時間傳送的,符中的各位是以固定的時間傳送的,即即字符之間是異步的字符之間是異

5、步的(字符之間不一(字符之間不一定有定有“位間隔位間隔”的整數(shù)倍的關系),的整數(shù)倍的關系),但但同一字符內的各位是同步的同一字符內的各位是同步的(各位(各位之間的距離均為之間的距離均為“位間隔位間隔”的整數(shù)的整數(shù)倍)。倍)。第6章 串行接口單片機原理與接口技術異步通信的數(shù)據(jù)格式異步通信的數(shù)據(jù)格式 :異步通信的特點異步通信的特點:不要求收發(fā)雙方時鐘的:不要求收發(fā)雙方時鐘的嚴格一致,實現(xiàn)容易,設備開銷較小,但嚴格一致,實現(xiàn)容易,設備開銷較小,但每個字符要附加每個字符要附加23位用于起止位,各幀位用于起止位,各幀之間還有間隔,因此傳輸效率不高。之間還有間隔,因此傳輸效率不高。第6章 串行接口單片機原

6、理與接口技術2、同步通信、同步通信同步通信時要建立發(fā)送方時鐘對接收方時鐘的直接控制,同步通信時要建立發(fā)送方時鐘對接收方時鐘的直接控制,使雙方達到完全同步。此時,傳輸數(shù)據(jù)的位之間的距離均使雙方達到完全同步。此時,傳輸數(shù)據(jù)的位之間的距離均為為“位間隔位間隔”的整數(shù)倍,同時傳送的字符間不留間隙,即的整數(shù)倍,同時傳送的字符間不留間隙,即保持位同步保持位同步關系,關系,也保持字符同步也保持字符同步關系。發(fā)送方對接收方關系。發(fā)送方對接收方的同步可以通過兩種方法實現(xiàn)。的同步可以通過兩種方法實現(xiàn)。 外同步外同步 自同步自同步第6章 串行接口單片機原理與接口技術面向字符的同步格式面向字符的同步格式 : 此時,傳

7、送的數(shù)據(jù)和控制信息都必須由規(guī)定的字符集此時,傳送的數(shù)據(jù)和控制信息都必須由規(guī)定的字符集(如(如ASCII碼)中的字符所組成。圖中碼)中的字符所組成。圖中幀頭為幀頭為1個或個或2個同個同步字符步字符SYN(ASCII碼為碼為16H)。)。SOH為序始為序始字符字符(ASCII碼為碼為01H),表示標題的開始,),表示標題的開始,標題標題中包含源地中包含源地址、目標地址和路由指示等信息。址、目標地址和路由指示等信息。STX為文始為文始字符字符(ASCII碼為碼為02H),表示傳送的數(shù)據(jù)塊開始。),表示傳送的數(shù)據(jù)塊開始。數(shù)據(jù)塊數(shù)據(jù)塊是是傳送的正文內容,由多個字符組成。數(shù)據(jù)塊后面是傳送的正文內容,由多個

8、字符組成。數(shù)據(jù)塊后面是組終組終字符字符ETB(ASCII碼為碼為17H)或)或文終字符文終字符ETX(ASCII碼碼為為03H)。然后是)。然后是校驗碼校驗碼。典型的面向字符的同步規(guī)程如典型的面向字符的同步規(guī)程如IBM的二進制同步規(guī)程的二進制同步規(guī)程BSC。 第6章 串行接口單片機原理與接口技術面向位的同步格式面向位的同步格式 : 此時,將數(shù)據(jù)塊看作數(shù)據(jù)流,并用序列此時,將數(shù)據(jù)塊看作數(shù)據(jù)流,并用序列01111110作為開始作為開始和結束標志。為了避免在數(shù)據(jù)流中出現(xiàn)序列和結束標志。為了避免在數(shù)據(jù)流中出現(xiàn)序列01111110時引起時引起的混亂,發(fā)送方總是在其發(fā)送的數(shù)據(jù)流中每出現(xiàn)的混亂,發(fā)送方總是在

9、其發(fā)送的數(shù)據(jù)流中每出現(xiàn)5個連續(xù)的個連續(xù)的1就插入一個附加的就插入一個附加的0;接收方則每檢測到;接收方則每檢測到5個連續(xù)的個連續(xù)的1并且其后并且其后有一個有一個0時,就刪除該時,就刪除該0。 典型的面向位的同步協(xié)議如典型的面向位的同步協(xié)議如ISO的高級數(shù)據(jù)鏈路控制規(guī)程的高級數(shù)據(jù)鏈路控制規(guī)程HDLC和和IBM的同步數(shù)據(jù)鏈路控制規(guī)程的同步數(shù)據(jù)鏈路控制規(guī)程SDLC。 同步通信的特點同步通信的特點是以特定的位組合是以特定的位組合“01111110”作為幀的開作為幀的開始和結束標志,所傳輸?shù)囊粠瑪?shù)據(jù)可以是任意位。所以傳輸始和結束標志,所傳輸?shù)囊粠瑪?shù)據(jù)可以是任意位。所以傳輸?shù)男瘦^高,但實現(xiàn)的硬件設備比異

10、步通信復雜。的效率較高,但實現(xiàn)的硬件設備比異步通信復雜。 第6章 串行接口單片機原理與接口技術二、串行通信的傳輸方向二、串行通信的傳輸方向1、單工、單工單工單工是指數(shù)據(jù)傳輸僅能沿是指數(shù)據(jù)傳輸僅能沿一個方向一個方向,不能實現(xiàn)反向傳輸。,不能實現(xiàn)反向傳輸。2、半雙工、半雙工半雙工半雙工是指數(shù)據(jù)傳輸可以沿是指數(shù)據(jù)傳輸可以沿兩個方向兩個方向,但需要分時進行。,但需要分時進行。3、全雙工、全雙工全雙工全雙工是指數(shù)據(jù)可以是指數(shù)據(jù)可以同時進行雙向同時進行雙向傳輸。傳輸。 單工 半雙工半雙工 全雙工全雙工第6章 串行接口單片機原理與接口技術三、信號的調制與解調三、信號的調制與解調 利用調制器(利用調制器(Mo

11、dulator)把數(shù)字信號轉換成模把數(shù)字信號轉換成模擬信號擬信號,然后送到通信線路上去,再由解調器,然后送到通信線路上去,再由解調器(Demodulator)把從通信線路上收到的)把從通信線路上收到的模擬信號模擬信號轉換成數(shù)字信號轉換成數(shù)字信號。由于通信是雙向的,調制器和。由于通信是雙向的,調制器和解調器合并在一個裝置中,這就是調制解調器解調器合并在一個裝置中,這就是調制解調器MODEM。第6章 串行接口單片機原理與接口技術四、串行通信的錯誤校驗四、串行通信的錯誤校驗 1、奇偶校驗奇偶校驗在發(fā)送數(shù)據(jù)時,數(shù)據(jù)位尾隨的在發(fā)送數(shù)據(jù)時,數(shù)據(jù)位尾隨的1位為奇偶校驗位(位為奇偶校驗位(1或或0)。奇)。奇

12、校驗時,數(shù)據(jù)中校驗時,數(shù)據(jù)中“1”的個數(shù)與校驗位的個數(shù)與校驗位“1”的個數(shù)之和應為奇的個數(shù)之和應為奇數(shù);偶校驗時,數(shù)據(jù)中數(shù);偶校驗時,數(shù)據(jù)中“1”的個數(shù)與校驗位的個數(shù)與校驗位“1”的個數(shù)之和的個數(shù)之和應為偶數(shù)。接收字符時,對應為偶數(shù)。接收字符時,對“1”的個數(shù)進行校驗,若發(fā)現(xiàn)不的個數(shù)進行校驗,若發(fā)現(xiàn)不一致,則說明傳輸數(shù)據(jù)過程中出現(xiàn)了差錯。一致,則說明傳輸數(shù)據(jù)過程中出現(xiàn)了差錯。3、循環(huán)冗余校驗循環(huán)冗余校驗這種校驗是通過某種數(shù)學運算實現(xiàn)有效信息與校驗位之間的這種校驗是通過某種數(shù)學運算實現(xiàn)有效信息與校驗位之間的循環(huán)校驗,常用于對磁盤信息的傳輸、存儲區(qū)的完整性校驗循環(huán)校驗,常用于對磁盤信息的傳輸、存儲

13、區(qū)的完整性校驗等。這種校驗方法糾錯能力強,廣泛應用于同步通信中。等。這種校驗方法糾錯能力強,廣泛應用于同步通信中。2、代碼和校驗代碼和校驗代碼和校驗是發(fā)送方將所發(fā)數(shù)據(jù)塊求和(或各字節(jié)異或),代碼和校驗是發(fā)送方將所發(fā)數(shù)據(jù)塊求和(或各字節(jié)異或),產生一個字節(jié)的校驗字符(校驗和)附加到數(shù)據(jù)塊末尾。接產生一個字節(jié)的校驗字符(校驗和)附加到數(shù)據(jù)塊末尾。接收方接收數(shù)據(jù)同時對數(shù)據(jù)塊(除校驗字節(jié)外)求和(或各字收方接收數(shù)據(jù)同時對數(shù)據(jù)塊(除校驗字節(jié)外)求和(或各字節(jié)異或),將所得的結果與發(fā)送方的節(jié)異或),將所得的結果與發(fā)送方的“校驗和校驗和”進行比較,進行比較,相符則無差錯,否則即認為傳送過程中出現(xiàn)了差錯。相符

14、則無差錯,否則即認為傳送過程中出現(xiàn)了差錯。第6章 串行接口單片機原理與接口技術五、傳輸速率與傳輸距離五、傳輸速率與傳輸距離 1、傳輸速率、傳輸速率比特率比特率是是每秒鐘傳輸二進制代碼的位數(shù)每秒鐘傳輸二進制代碼的位數(shù),單位是:,單位是:位秒(位秒(bps)。如每秒鐘傳送)。如每秒鐘傳送240個字符,而每個個字符,而每個字符格式包含字符格式包含10位位(1個起始位、個起始位、1個停止位、個停止位、8個數(shù)據(jù)個數(shù)據(jù)位位),這時的比特率為:,這時的比特率為: 10位位240個個/秒秒 = 2400 bps波特率波特率表示表示每秒鐘調制信號變化的次數(shù)每秒鐘調制信號變化的次數(shù),單位是:,單位是:波特(波特(

15、Baud)。)。 波特率和比特率不總是相同的,波特率和比特率不總是相同的,對于將數(shù)字信號對于將數(shù)字信號1或或0直接用兩種不同電壓表示的所謂基帶傳輸,比特直接用兩種不同電壓表示的所謂基帶傳輸,比特率和波特率是相同的。率和波特率是相同的。所以,我們也經常用波特率所以,我們也經常用波特率表示數(shù)據(jù)的傳輸速率。表示數(shù)據(jù)的傳輸速率。第6章 串行接口單片機原理與接口技術2、傳輸距離與傳輸速率的關系、傳輸距離與傳輸速率的關系 串行接口或終端直接傳送串行信息位流的串行接口或終端直接傳送串行信息位流的最大距離與傳輸速率及傳輸線的電氣特性有最大距離與傳輸速率及傳輸線的電氣特性有關。當傳輸線使用每關。當傳輸線使用每0

16、.3m(約(約1英尺)有英尺)有50PF電容的非平衡屏蔽雙絞線時,傳輸距離隨傳電容的非平衡屏蔽雙絞線時,傳輸距離隨傳輸速率的增加而減小。當比特率超過輸速率的增加而減小。當比特率超過1000 bps 時,最大傳輸距離迅速下降,如時,最大傳輸距離迅速下降,如9600 bps 時時最大距離下降到只有最大距離下降到只有76m(約(約250英尺)。英尺)。第6章 串行接口單片機原理與接口技術6.1.2 6.1.2 串行通信接口標準串行通信接口標準 一、一、RS-232CRS-232C接口接口 RS-232C是是EIA(美國電子工業(yè)協(xié)會)(美國電子工業(yè)協(xié)會)1969年修訂年修訂RS-232C標準。標準。R

17、S-232C定義了數(shù)據(jù)終端設備(定義了數(shù)據(jù)終端設備(DTE)與數(shù)據(jù)通)與數(shù)據(jù)通信設備(信設備(DCE)之間的物理接口標準。)之間的物理接口標準。1、機械特性、機械特性RS-232C接口規(guī)定使用接口規(guī)定使用25針連接器,連接器的尺寸及每個插針連接器,連接器的尺寸及每個插針的排列位置都有明確的定義。(陽頭)針的排列位置都有明確的定義。(陽頭)第6章 串行接口單片機原理與接口技術2、功能特性、功能特性第6章 串行接口單片機原理與接口技術4、過程特性、過程特性 過程特性規(guī)定了信號之間的時序關系,以便正過程特性規(guī)定了信號之間的時序關系,以便正確地接收和發(fā)送數(shù)據(jù)確地接收和發(fā)送數(shù)據(jù) 。遠程通信連接遠程通信連

18、接 第6章 串行接口單片機原理與接口技術近程通信連接近程通信連接 第6章 串行接口單片機原理與接口技術5、RS-232C電平與電平與TTL電平轉換驅動電路電平轉換驅動電路第6章 串行接口單片機原理與接口技術6、采用、采用RS-232C接口存在的問題接口存在的問題1、傳輸距離短,傳輸速率低傳輸距離短,傳輸速率低 RS-232C總線標準受電容允許值的約束,使用時傳輸距離一總線標準受電容允許值的約束,使用時傳輸距離一般不要超過般不要超過15米(線路條件好時也不超過幾十米)。最高傳送米(線路條件好時也不超過幾十米)。最高傳送速率為速率為20Kbps。2、有電平偏移有電平偏移 RS-232C總線標準要求

19、收發(fā)雙方共地。通信距離較大時,收總線標準要求收發(fā)雙方共地。通信距離較大時,收發(fā)雙方的地電位差別較大,在信號地上將有比較大的地電流并發(fā)雙方的地電位差別較大,在信號地上將有比較大的地電流并產生壓降。產生壓降。3、抗干擾能力差抗干擾能力差 RS-232C在電平轉換時采用單端輸入輸出,在傳輸過程中在電平轉換時采用單端輸入輸出,在傳輸過程中當干擾和噪聲混在正常的信號中。為了提高信噪比,當干擾和噪聲混在正常的信號中。為了提高信噪比,RS-232C總線標準不得不采用比較大的電壓擺幅。總線標準不得不采用比較大的電壓擺幅。第6章 串行接口單片機原理與接口技術二、二、RS-422A接口接口 RS-422A輸出驅動

20、器為雙端平衡驅動器輸出驅動器為雙端平衡驅動器。如果其中一條線。如果其中一條線為邏輯為邏輯“1”狀態(tài),另一條線就為邏輯狀態(tài),另一條線就為邏輯“0”,比采用單端不平,比采用單端不平衡驅動對電壓的放大倍數(shù)大一倍。衡驅動對電壓的放大倍數(shù)大一倍。差分電路差分電路能從地線干擾中能從地線干擾中拾取有效信號,差分接收器可以分辨拾取有效信號,差分接收器可以分辨200mV以上電位差。若以上電位差。若傳輸過程中混入了干擾和噪聲,由于差分放大器的作用,可傳輸過程中混入了干擾和噪聲,由于差分放大器的作用,可使干擾和噪聲相互抵消。因此可以避免或大大減弱地線干擾使干擾和噪聲相互抵消。因此可以避免或大大減弱地線干擾和電磁干擾

21、的影響。和電磁干擾的影響。RS-422A傳輸速率(傳輸速率(90Kbps)時,傳輸)時,傳輸距離可達距離可達1200米。米。 第6章 串行接口單片機原理與接口技術三、三、RS-485接口接口 RS-485是是RS-422A的變型:的變型:RS-422A用于全雙工,而用于全雙工,而RS-485則用于半雙工。則用于半雙工。RS-485是一種是一種多發(fā)送器多發(fā)送器標準,在通信線路標準,在通信線路上最多可以使用上最多可以使用32 對差分驅動器對差分驅動器/接收器。如果在一個網(wǎng)絡中接收器。如果在一個網(wǎng)絡中連接的設備超過連接的設備超過32個,還可以使用中繼器。個,還可以使用中繼器。 RS-485的信號傳輸

22、采用兩線間的電壓來表示邏輯的信號傳輸采用兩線間的電壓來表示邏輯1和邏輯和邏輯0。由于發(fā)送方需要由于發(fā)送方需要兩根傳輸線兩根傳輸線,接收方也需要兩根傳輸線。傳,接收方也需要兩根傳輸線。傳輸線采用差動信道,所以它的干擾抑制性極好,又因為它的輸線采用差動信道,所以它的干擾抑制性極好,又因為它的阻抗低,無接地問題,所以阻抗低,無接地問題,所以傳輸距離可達傳輸距離可達1200米米,傳輸速率,傳輸速率可達可達1Mbps。第6章 串行接口單片機原理與接口技術 RS-485是一點對多點的通信接口,一般是一點對多點的通信接口,一般采用采用雙絞線雙絞線的結構。普通的的結構。普通的PC機一般不帶機一般不帶RS485

23、接口,因此要使用接口,因此要使用RS-232C/RS-485轉轉換器。對于單片機可以通過芯片換器。對于單片機可以通過芯片MAX485來來完成完成TTL/RS-485的電平轉換。在計算機和單的電平轉換。在計算機和單片機組成的片機組成的RS-485通信系統(tǒng)中,下位機由單通信系統(tǒng)中,下位機由單片機系統(tǒng)組成,上位機為普通的片機系統(tǒng)組成,上位機為普通的PC機,負責機,負責監(jiān)視下位機的運行狀態(tài),并對其狀態(tài)信息進監(jiān)視下位機的運行狀態(tài),并對其狀態(tài)信息進行集中處理,以圖文方式顯示下位機的工作行集中處理,以圖文方式顯示下位機的工作狀態(tài)以及工業(yè)現(xiàn)場被控設備的工作狀況。系狀態(tài)以及工業(yè)現(xiàn)場被控設備的工作狀況。系統(tǒng)中各節(jié)

24、點(包括上位機)的識別是通過設統(tǒng)中各節(jié)點(包括上位機)的識別是通過設置不同的站地址來實現(xiàn)的。置不同的站地址來實現(xiàn)的。第6章 串行接口單片機原理與接口技術6.2 80C51的串行口 有兩個物理上獨立的接收、發(fā)送緩沖器有兩個物理上獨立的接收、發(fā)送緩沖器SBUF,它們占用,它們占用同一地址同一地址99H ;接收器是雙緩沖結構;接收器是雙緩沖結構 ;發(fā)送緩沖器,因為;發(fā)送緩沖器,因為發(fā)送時發(fā)送時CPU是主動的,不會產生重疊錯誤。是主動的,不會產生重疊錯誤。 6.2.1 6.2.1 80C51串行口的結構串行口的結構 第6章 串行接口單片機原理與接口技術 SCON 是一個特殊功能寄存器,用以設定串行口的

25、工作是一個特殊功能寄存器,用以設定串行口的工作方式、接收方式、接收/發(fā)送控制以及設置狀態(tài)標志:發(fā)送控制以及設置狀態(tài)標志: 6.2.2 6.2.2 80C51串行口的控制寄存器串行口的控制寄存器 SM0和和SM1為工作方式選擇位,可選擇四種工作方式:為工作方式選擇位,可選擇四種工作方式: 第6章 串行接口單片機原理與接口技術SM2,多機通信控制位,多機通信控制位,主要用于方式,主要用于方式2和方式和方式3。當當接收機的接收機的SM2=1時可以利用收到的時可以利用收到的RB8來控制是否來控制是否激活激活RI(RB80時不激活時不激活RI,收到的信息丟棄;,收到的信息丟棄;RB81時收到的數(shù)據(jù)進入時

26、收到的數(shù)據(jù)進入SBUF,并激活,并激活RI,進而在,進而在中斷服務中將數(shù)據(jù)從中斷服務中將數(shù)據(jù)從SBUF讀走)。讀走)。當當SM2=0時,不時,不論收到的論收到的RB8為為0和和1,均可以使收到的數(shù)據(jù)進入,均可以使收到的數(shù)據(jù)進入SBUF,并激活并激活RI(即此時(即此時RB8不具有控制不具有控制RI激活的功能)。激活的功能)。通過控制通過控制SM2,可以實現(xiàn)多機通信。,可以實現(xiàn)多機通信。在方式在方式0時,時,SM2必須是必須是0。在方式。在方式1時,若時,若SM2=1,則只有接收到有效停止位時,則只有接收到有效停止位時,RI才置才置1。REN,允許串行接收位,允許串行接收位。由軟件置。由軟件置R

27、EN=1,則啟動,則啟動串行口接收數(shù)據(jù);若軟件置串行口接收數(shù)據(jù);若軟件置REN=0,則禁止接收。,則禁止接收。第6章 串行接口單片機原理與接口技術TB8,在方式,在方式2或方式或方式3中,是發(fā)送數(shù)據(jù)的中,是發(fā)送數(shù)據(jù)的第九位第九位,可以用軟件規(guī)定其作用??梢杂米?,可以用軟件規(guī)定其作用??梢杂米鲾?shù)據(jù)的奇偶校驗位,或在多機通信中,作為數(shù)據(jù)的奇偶校驗位,或在多機通信中,作為地址幀地址幀/數(shù)據(jù)幀的標志位。數(shù)據(jù)幀的標志位。在方式在方式0和方式和方式1中,該位未用。中,該位未用。RB8,在方式,在方式2或方式或方式3中,是接收到數(shù)據(jù)中,是接收到數(shù)據(jù)的第九位的第九位,作為奇偶校驗位或地址幀,作為奇偶校驗位或地

28、址幀/數(shù)據(jù)幀數(shù)據(jù)幀的標志位。在方式的標志位。在方式1時,若時,若SM2=0,則,則RB8是是接收到的停止位。接收到的停止位。第6章 串行接口單片機原理與接口技術TI,發(fā)送中斷標志位,發(fā)送中斷標志位。在方式。在方式0時,當串時,當串行發(fā)送第行發(fā)送第8位數(shù)據(jù)結束時,或在其它方式,位數(shù)據(jù)結束時,或在其它方式,串行發(fā)送停止位的開始時,由內部硬件使串行發(fā)送停止位的開始時,由內部硬件使TI置置1,向,向CPU發(fā)中斷申請。在中斷服務程序發(fā)中斷申請。在中斷服務程序中,必須用軟件將其清中,必須用軟件將其清0,取消此中斷申請。,取消此中斷申請。RI,接收中斷標志位,接收中斷標志位。在方式。在方式0時,當串時,當串

29、行接收第行接收第8位數(shù)據(jù)結束時,或在其它方式,位數(shù)據(jù)結束時,或在其它方式,串行接收停止位的中間時,由內部硬件使串行接收停止位的中間時,由內部硬件使RI置置1,向,向CPU發(fā)中斷申請。也必須在中斷服發(fā)中斷申請。也必須在中斷服務程序中,用軟件將其清務程序中,用軟件將其清0,取消此中斷申,取消此中斷申請。請。第6章 串行接口單片機原理與接口技術PCON中只有一位中只有一位SMOD與串行口工作有關與串行口工作有關 : SMOD(PCON.7) 波特率倍增位。在串行口方式波特率倍增位。在串行口方式1、方式方式2、方式、方式3時,波特率與時,波特率與SMOD有關,當有關,當SMOD=1時,時,波特率提高一

30、倍。復位時,波特率提高一倍。復位時,SMOD=0。第6章 串行接口單片機原理與接口技術 6.2.3 6.2.3 80C51串行口的工作方式串行口的工作方式 一、方式一、方式0 方式方式0時,串行口為同步移位寄存器的輸入輸出方式。主時,串行口為同步移位寄存器的輸入輸出方式。主要用于擴展并行輸入或輸出口。數(shù)據(jù)由要用于擴展并行輸入或輸出口。數(shù)據(jù)由RXD(P3.0)引腳)引腳輸入或輸出,同步移位脈沖由輸入或輸出,同步移位脈沖由TXD(P3.1)引腳輸出。發(fā))引腳輸出。發(fā)送和接收均為送和接收均為8位數(shù)據(jù),低位在先,高位在后。波特率固定位數(shù)據(jù),低位在先,高位在后。波特率固定為為fosc/12。 1、方式、

31、方式0輸出輸出第6章 串行接口單片機原理與接口技術 2、方式、方式0輸入輸入 方式方式0接收和發(fā)送電路接收和發(fā)送電路第6章 串行接口單片機原理與接口技術 二、方式二、方式1 方式方式1是是10位數(shù)據(jù)的異步通信口。位數(shù)據(jù)的異步通信口。TXD為數(shù)據(jù)發(fā)送引腳,為數(shù)據(jù)發(fā)送引腳,RXD為數(shù)據(jù)接收引腳,傳送一幀數(shù)據(jù)的格式如圖所示。其中為數(shù)據(jù)接收引腳,傳送一幀數(shù)據(jù)的格式如圖所示。其中1位起始位,位起始位,8位數(shù)據(jù)位,位數(shù)據(jù)位,1位停止位。位停止位。 1、方式、方式1輸出輸出第6章 串行接口單片機原理與接口技術 2、方式、方式1輸入輸入 用軟件置用軟件置REN為為1時,接收器以所選擇波特率的時,接收器以所選擇

32、波特率的16倍速率采倍速率采樣樣RXD引腳電平,檢測到引腳電平,檢測到RXD引腳輸入電平發(fā)生負跳變時,則引腳輸入電平發(fā)生負跳變時,則說明起始位有效,將其移入輸入移位寄存器,并開始接收這一說明起始位有效,將其移入輸入移位寄存器,并開始接收這一幀信息的其余位。接收過程中,數(shù)據(jù)從輸入移位寄存器右邊移幀信息的其余位。接收過程中,數(shù)據(jù)從輸入移位寄存器右邊移入,起始位移至輸入移位寄存器最左邊時,控制電路進行最后入,起始位移至輸入移位寄存器最左邊時,控制電路進行最后一次移位。當一次移位。當RI=0,且,且SM2=0(或接收到的停止位為(或接收到的停止位為1)時,)時,將接收到的將接收到的9位數(shù)據(jù)的前位數(shù)據(jù)的

33、前8位數(shù)據(jù)裝入接收位數(shù)據(jù)裝入接收SBUF,第,第9位(停止位(停止位)進入位)進入RB8,并置,并置RI=1,向,向CPU請求中斷。請求中斷。第6章 串行接口單片機原理與接口技術 三、方式三、方式2和方式和方式3 方式方式2或方式或方式3時為時為11位數(shù)據(jù)的異步通信口。位數(shù)據(jù)的異步通信口。TXD為數(shù)據(jù)發(fā)為數(shù)據(jù)發(fā)送引腳,送引腳,RXD為數(shù)據(jù)接收引腳為數(shù)據(jù)接收引腳 。 方式方式2和方式和方式3時起始位時起始位1位,數(shù)據(jù)位,數(shù)據(jù)9位(含位(含1位附加的第位附加的第9位,位,發(fā)送時為發(fā)送時為SCON中的中的TB8,接收時為,接收時為RB8),停止位),停止位1位,一位,一幀數(shù)據(jù)為幀數(shù)據(jù)為11位。方式位

34、。方式2的波特率固定為晶振頻率的的波特率固定為晶振頻率的1/64或或1/32,方式方式3的波特率由定時器的波特率由定時器T1的溢出率決定。的溢出率決定。 第6章 串行接口單片機原理與接口技術 1、方式、方式2和方式和方式3輸出輸出 發(fā)送開始時,先把起始位發(fā)送開始時,先把起始位0輸出到輸出到TXD引腳,然后發(fā)送移引腳,然后發(fā)送移位寄存器的輸出位(位寄存器的輸出位(D0)到)到TXD引腳。每一個移位脈沖都引腳。每一個移位脈沖都使輸出移位寄存器的各位右移一位,并由使輸出移位寄存器的各位右移一位,并由TXD引腳輸出。引腳輸出。 第一次移位時,停止位第一次移位時,停止位“1”移入輸出移位寄存器的第移入輸

35、出移位寄存器的第9位位上上 ,以后每次移位,左邊都移入,以后每次移位,左邊都移入0。當停止位移至輸出位時,。當停止位移至輸出位時,左邊其余位全為左邊其余位全為0,檢測電路檢測到這一條件時,使控制電,檢測電路檢測到這一條件時,使控制電路進行最后一次移位,并置路進行最后一次移位,并置TI=1,向,向CPU請求中斷。請求中斷。 第6章 串行接口單片機原理與接口技術 2、方式、方式2和方式和方式3輸入輸入 接收時,數(shù)據(jù)從右邊移入輸入移位寄存器,在起始接收時,數(shù)據(jù)從右邊移入輸入移位寄存器,在起始位位0移到最左邊時,控制電路進行最后一次移位。當移到最左邊時,控制電路進行最后一次移位。當RI=0,且,且SM

36、2=0(或接收到的第(或接收到的第9位數(shù)據(jù)為位數(shù)據(jù)為1)時,)時,接收到的數(shù)據(jù)裝入接收緩沖器接收到的數(shù)據(jù)裝入接收緩沖器SBUF和和RB8(接收數(shù)(接收數(shù)據(jù)的第據(jù)的第9位),置位),置RI=1,向,向CPU請求中斷。如果條件請求中斷。如果條件不滿足,則數(shù)據(jù)丟失,且不置位不滿足,則數(shù)據(jù)丟失,且不置位RI,繼續(xù)搜索,繼續(xù)搜索RXD引腳的負跳變。引腳的負跳變。第6章 串行接口單片機原理與接口技術四、波特率的計算四、波特率的計算 在串行通信中,收發(fā)雙方對發(fā)送或接收數(shù)據(jù)的速在串行通信中,收發(fā)雙方對發(fā)送或接收數(shù)據(jù)的速率要有約定。通過軟件可對單片機串行口編程為四率要有約定。通過軟件可對單片機串行口編程為四種工

37、作方式,其中方式種工作方式,其中方式0和方式和方式2的波特率是固定的,的波特率是固定的,而方式而方式1和方式和方式3的波特率是可變的,由定時器的波特率是可變的,由定時器T1的溢出率來決定。的溢出率來決定。 串行口的四種工作方式對應串行口的四種工作方式對應三種波特率三種波特率。由于輸。由于輸入的移位時鐘的來源不同,所以,各種方式的波特入的移位時鐘的來源不同,所以,各種方式的波特率計算公式也不相同。率計算公式也不相同。方式方式0的波特率的波特率 = fosc/12方式方式2的波特率的波特率 =(2SMOD/64) fosc 方式方式1的波特率的波特率 =(2SMOD/32)(T1溢出率)溢出率)方

38、式方式3的波特率的波特率 =(2SMOD/32)(T1溢出率)溢出率)第6章 串行接口單片機原理與接口技術 當當T1作為波特率發(fā)生器時,最典型的用法是使作為波特率發(fā)生器時,最典型的用法是使T1工作在自動再裝入工作在自動再裝入的的8位定時器方式(即方式位定時器方式(即方式2,且,且TCON的的TR1=1,以啟動定時器)。這,以啟動定時器)。這時溢出率取決于時溢出率取決于TH1中的計數(shù)值。中的計數(shù)值。 T1 溢出率溢出率 = fosc /12256 (TH1) 在單片機的應用中,常用的晶振頻率為:在單片機的應用中,常用的晶振頻率為:12MHz和和11.0592MHz。所。所以,選用的波特率也相對固

39、定。常用的串行口波特率以及各參數(shù)的關系以,選用的波特率也相對固定。常用的串行口波特率以及各參數(shù)的關系如表所示。如表所示。第6章 串行接口單片機原理與接口技術 串行口工作之前,應對其進行初始化,主串行口工作之前,應對其進行初始化,主要是設置產生波特率的定時器要是設置產生波特率的定時器1、串行口控、串行口控制和中斷控制。具體步驟如下:制和中斷控制。具體步驟如下:確定確定T1的工作方式的工作方式(編程(編程TMOD寄存器);寄存器);計算計算T1的初值的初值,裝載,裝載TH1、TL1;啟動啟動T1(編程(編程TCON中的中的TR1位);位);確定確定串行口控制串行口控制(編程(編程SCON寄存器);

40、寄存器);串行口在中斷方式工作時,要進行中斷設置串行口在中斷方式工作時,要進行中斷設置(編程(編程IE、IP寄存器)。寄存器)。第6章 串行接口單片機原理與接口技術6.3 單片機串行口應用舉例 在計算機分布式測控系統(tǒng)中,經常要在計算機分布式測控系統(tǒng)中,經常要利用串行通信方式進行數(shù)據(jù)傳輸。利用串行通信方式進行數(shù)據(jù)傳輸。80C51單片機的串行口為計算機間的通信提供了單片機的串行口為計算機間的通信提供了極為便利的條件。利用單片機的串行口還極為便利的條件。利用單片機的串行口還可以方便地擴展鍵盤和顯示器,對于簡單可以方便地擴展鍵盤和顯示器,對于簡單的應用非常便利。這里僅介紹單片機串行的應用非常便利。這里

41、僅介紹單片機串行口在通信方面的應用,關于鍵盤和顯示器口在通信方面的應用,關于鍵盤和顯示器的擴展將在下一章介紹。的擴展將在下一章介紹。第6章 串行接口單片機原理與接口技術6.3.1 6.3.1 單片機與單片機的通信單片機與單片機的通信 一、點對點的通信一、點對點的通信 1、硬件連接、硬件連接 第6章 串行接口單片機原理與接口技術二、應用程序二、應用程序 第6章 串行接口單片機原理與接口技術 設設1號機是發(fā)送方,號機是發(fā)送方,2號機是接收方。號機是接收方。當當1號機號機發(fā)送時發(fā)送時,先發(fā),先發(fā)送一個送一個“E1”聯(lián)絡信號,聯(lián)絡信號,2號機收到后回答一個號機收到后回答一個“E2”應答信號,應答信號,

42、表示同意接收。當表示同意接收。當1號機收到應答信號號機收到應答信號“E2”后,開始發(fā)送數(shù)據(jù),后,開始發(fā)送數(shù)據(jù),每發(fā)送一個數(shù)據(jù)字節(jié)都要計算每發(fā)送一個數(shù)據(jù)字節(jié)都要計算“校驗和校驗和”,假定數(shù)據(jù)塊長度為,假定數(shù)據(jù)塊長度為16個字節(jié),起始地址為個字節(jié),起始地址為40H,一個數(shù)據(jù)塊發(fā)送完畢后立即發(fā)送,一個數(shù)據(jù)塊發(fā)送完畢后立即發(fā)送“校驗和校驗和”。2號機接收數(shù)據(jù)號機接收數(shù)據(jù)并轉存到數(shù)據(jù)緩沖區(qū),起始地址并轉存到數(shù)據(jù)緩沖區(qū),起始地址也為也為40H,每接收到一個數(shù)據(jù)字節(jié)便計算一次,每接收到一個數(shù)據(jù)字節(jié)便計算一次“校驗和校驗和”,當,當收到一個數(shù)據(jù)塊后,再接收收到一個數(shù)據(jù)塊后,再接收1號機發(fā)來的號機發(fā)來的“校驗和

43、校驗和”,并將它,并將它與與2號機求出的校驗和進行比較。若兩者相等,說明接收正確,號機求出的校驗和進行比較。若兩者相等,說明接收正確,2號機回答號機回答00H;若兩者不相等,說明接收不正確,;若兩者不相等,說明接收不正確,2號機回答號機回答0FFH,請求重發(fā)。,請求重發(fā)。1號機接到號機接到00H后結束發(fā)送后結束發(fā)送。若收到的答復。若收到的答復非零,則重新發(fā)送數(shù)據(jù)一次。雙方約定采用非零,則重新發(fā)送數(shù)據(jù)一次。雙方約定采用串行口方式串行口方式1進行進行通信,通信,一幀信息為一幀信息為10位,其中有位,其中有1個起始位、個起始位、8個數(shù)據(jù)位和一個個數(shù)據(jù)位和一個停止位;波特率為停止位;波特率為2400波

44、特,波特,T1工作在定時器方式工作在定時器方式2,振蕩頻,振蕩頻率選用率選用11.0592MHZ,查表可得,查表可得TH1=TL1=0F4H,PCON寄存寄存器的器的SMOD位為位為0。 第6章 串行接口單片機原理與接口技術發(fā)送程序清單如下: ASTART:CLR EA MOV TMOD,#20H ;定時器1置為方式2 MOV TH1,#0F4H ;裝載定時器初值,波特率2400 MOV TL1,#0F4H MOV PCON,#00H SETB TR1 ;啟動定時器 MOV SCON,#50H ;設定串口方式1,且準備接收應答信號 ALOOP1:MOV SBUF,#0E1H ;發(fā)聯(lián)絡信號 JN

45、B TI,$ ;等待一幀發(fā)送完畢 CLR TI ;允許再發(fā)送 JNB RI,$ ;等待2號機的應答信號 CLR RI ;允許再接收 MOV A,SBUF ;2號機應答后,讀至A XRL A,#0E2H ;判斷2號機是否準備完畢 JNZ ALOOP1 ;2號機未準備好,繼續(xù)聯(lián)絡 ALOOP2:MOV R0,#40H ;2號機準備好,設定數(shù)據(jù)塊地址指針初值 MOV R7,#10H ;設定數(shù)據(jù)塊長度初值 MOV R6,#00H ;清校驗和單元第6章 串行接口單片機原理與接口技術 ALOOP3:MOV SBUF,R0 ;發(fā)送一個數(shù)據(jù)字節(jié) MOV A,R6 ADD A,R0 ;求校驗和 MOV R6,A

46、 ;保存校驗和 INC R0 JNB TI,$ CLR TI DJNZ R7,ALOOP3 ;整個數(shù)據(jù)塊是否發(fā)送完畢 MOV SBUF,R6 ;發(fā)送校驗和 JNB TI,$ CLR TI JNB RI,$ ;等待2號機的應答信號 CLR RI MOV A,SBUF ;2號機應答,讀至A JNZ ALOOP2 ;2號機應答“錯誤”,轉重新發(fā)送 RET ;2號機應答“正確”,返回第6章 串行接口單片機原理與接口技術接收程序清單如下: BSTART:CLR EA MOV TMOD,#20H MOV TH1,#0F4H MOV TL1,#0F4H MOV PCON,#00H SETB TR1 MOV

47、SCON,#50H ;設定串口方式1,且準備接收 BLOOP1:JNB RI,$ ;等待1號機的聯(lián)絡信號 CLR RI MOV A,SBUF ;收到1號機信號 XRL A,#0E1H ;判是否為1號機聯(lián)絡信號 JNZ BLOOP1 ;不是1號機聯(lián)絡信號,再等待 MOV SBUF,#0E2H ;是1號機聯(lián)絡信號,發(fā)應答信號 JNB TI,$ CLR TI MOV R0,#40H ;設定數(shù)據(jù)塊地址指針初值 MOV R7,#10H ;設定數(shù)據(jù)塊長度初值 MOV R6,#00H ;清校驗和單元第6章 串行接口單片機原理與接口技術BLOOP2:JNB RI,$ CLR RI MOV A,SBUF MOV

48、 R0,A ;接收數(shù)據(jù)轉儲 INC R0 ADD A,R6 ;求校驗和 MOV R6,A DJNZ R7,BLOOP2 ;判數(shù)據(jù)塊是否接收完畢 JNB RI,$ ;完畢,接收1號機發(fā)來的校驗和 CLR RI MOV A,SBUF XRL A,R6 ;比較校驗和 JZ END1 ;校驗和相等,跳至發(fā)正確標志 MOV SBUF,#0FFH ;校驗和不相等,發(fā)錯誤標志 JNB TI,$ ;轉重新接收 CLR TIEND1:MOV SBUF,#00H RET第6章 串行接口單片機原理與接口技術二、多機通信二、多機通信 1、硬件連接、硬件連接 單片機構成的多機系統(tǒng)常采用總線型主從式結構。所謂主從單片機構

49、成的多機系統(tǒng)常采用總線型主從式結構。所謂主從式,即在數(shù)個單片機中,有一個是主機,其余的是從機,從機式,即在數(shù)個單片機中,有一個是主機,其余的是從機,從機要服從主機的調度、支配。要服從主機的調度、支配。80C31單片機的串行口方式單片機的串行口方式2和方和方式式3適于這種主從式的通信結構。當然采用不同的通信標準時,適于這種主從式的通信結構。當然采用不同的通信標準時,還需進行相應的電平轉換,有時還要對信號進行光電隔離。在還需進行相應的電平轉換,有時還要對信號進行光電隔離。在實際的多機應用系統(tǒng)中,常采用實際的多機應用系統(tǒng)中,常采用RS-485串行標準總線進行數(shù)據(jù)串行標準總線進行數(shù)據(jù)傳輸。傳輸。第6章

50、 串行接口單片機原理與接口技術2、通信協(xié)議、通信協(xié)議所有從機的所有從機的SM2位置位置1,處于接收地址幀狀態(tài)。,處于接收地址幀狀態(tài)。主機發(fā)送一地址幀,其中主機發(fā)送一地址幀,其中8位是地址,第位是地址,第9位為地址位為地址/數(shù)據(jù)的區(qū)分標志,該位置數(shù)據(jù)的區(qū)分標志,該位置1表示該幀為地址幀。表示該幀為地址幀。 所有從機收到地址幀后,都將接收的地址與本機的所有從機收到地址幀后,都將接收的地址與本機的地址比較。對于地址相符的從機,使自己的地址比較。對于地址相符的從機,使自己的SM2位置位置0(以接收主機隨后發(fā)來的數(shù)據(jù)幀),并把本站地址(以接收主機隨后發(fā)來的數(shù)據(jù)幀),并把本站地址發(fā)回主機作為應答;對于地址

51、不符的從機,仍保持發(fā)回主機作為應答;對于地址不符的從機,仍保持SM2=1,對主機隨后發(fā)來的數(shù)據(jù)幀不予理睬。,對主機隨后發(fā)來的數(shù)據(jù)幀不予理睬。從機發(fā)送數(shù)據(jù)結束后,要發(fā)送一幀校驗和,并置第從機發(fā)送數(shù)據(jù)結束后,要發(fā)送一幀校驗和,并置第9位(位(TB8)為)為1,作為從機數(shù)據(jù)傳送結束的標志。,作為從機數(shù)據(jù)傳送結束的標志。第6章 串行接口單片機原理與接口技術主機接收數(shù)據(jù)時先判斷數(shù)據(jù)接收標志(主機接收數(shù)據(jù)時先判斷數(shù)據(jù)接收標志(RB8),若),若RB8=1,表示數(shù)據(jù)傳送結束,并比較此幀校驗和,若,表示數(shù)據(jù)傳送結束,并比較此幀校驗和,若正確則回送正確信號正確則回送正確信號00H,此信號命令該從機復位,此信號命

52、令該從機復位(即重新等待地址幀);若校驗和出錯,則發(fā)送(即重新等待地址幀);若校驗和出錯,則發(fā)送0FFH,命令該從機重發(fā)數(shù)據(jù)。若接收幀的,命令該從機重發(fā)數(shù)據(jù)。若接收幀的RB8=0,則存數(shù)據(jù)到緩沖區(qū),并準備接收下幀信息。則存數(shù)據(jù)到緩沖區(qū),并準備接收下幀信息。主機收到從機應答地址后,確認地址是否相符,如主機收到從機應答地址后,確認地址是否相符,如果地址不符,發(fā)復位信號(數(shù)據(jù)幀中果地址不符,發(fā)復位信號(數(shù)據(jù)幀中TB8=1);如果);如果地址相符,則清地址相符,則清TB8,開始發(fā)送數(shù)據(jù)。,開始發(fā)送數(shù)據(jù)。從機收到復位命令后回到監(jiān)聽地址狀態(tài)(從機收到復位命令后回到監(jiān)聽地址狀態(tài)(SM2=1)。)。否則開始接

53、收數(shù)據(jù)和命令。否則開始接收數(shù)據(jù)和命令。第6章 串行接口單片機原理與接口技術3、應用程序、應用程序主機發(fā)送的地址聯(lián)絡信號為:主機發(fā)送的地址聯(lián)絡信號為:00H,01H,02H , (即從機設備地(即從機設備地址),地址址),地址FFH為命令各從機復位,即恢復為命令各從機復位,即恢復SM2=1。主機命令編碼為:主機命令編碼為:01H,主機命令從機接收數(shù)據(jù);,主機命令從機接收數(shù)據(jù);02H,主機命令從機發(fā),主機命令從機發(fā)送數(shù)據(jù)。其它都按送數(shù)據(jù)。其它都按02H對待。對待。RRDY=1:表示從機準備好接收。:表示從機準備好接收。TRDY=1:表示從機準備好發(fā)送。:表示從機準備好發(fā)送。ERR=1: 表示從機接

54、收的命令是非法的。表示從機接收的命令是非法的。 程序分為主機程序和從機程序。約定一次傳遞數(shù)據(jù)為程序分為主機程序和從機程序。約定一次傳遞數(shù)據(jù)為16個字節(jié),以個字節(jié),以01H地址的從機為例地址的從機為例。 第6章 串行接口單片機原理與接口技術主機程序清單:設從機地址號存于40H單元,命令存于41H單元。 MAIN:MOV TMOD,#20H ;T1方式2 MOV TH1,#0FDH ;初始化波特率9600 MOV TL1,#0FDH MOV PCON,#00H SETB TR1 MOV SCON,#0F0H ;串口方式3,多機,準備接收應答LOOP1:SETB TB8 MOV SBUF,40H ;

55、發(fā)送預通信從機地址 JNB TI,$ CLR TI JNB RI,$ ;等待從機對聯(lián)絡應答 CLR RI MOV A,SBUF ;接收應答,讀至A XRL A,40H ;判應答的地址是否正確 JZ AD_OK 第6章 串行接口單片機原理與接口技術 AD_ERR:MOV SBUF,#0FFH ;應答錯誤,發(fā)命令FFH JNB TI,$ CLR TI SJMP LOOP1 ;返回重新發(fā)送聯(lián)絡信號 AD_OK:CLR TB8 ;應答正確 MOV SBUF,41H ;發(fā)送命令字 JNB TI,$ CLR TI JNB RI,$ ;等待從機對命令應答 CLR RI MOV A,SBUF ;接收應答,讀至

56、A XRL A,#80H ;判斷應答是否正確 JNZ CO_OK SETB TB8 SJMP AD_ERR ;錯誤處理第6章 串行接口單片機原理與接口技術CO_OK:MOV A,SBUF ;應答正確,判是發(fā)送還是接收命令 XRL A,#01H JZ SE_DATA ;從機準備好接收,可以發(fā)送 MOV A,SBUF XRL A,#02H JZ RE_DATA ;從機準備好發(fā)送,可以接收 LJMP SE_DATA RE_DATA:MOV R6,#00H ;清校驗和接收16個字節(jié)數(shù)據(jù) MOV R0,#30H MOV R7,#10H第6章 串行接口單片機原理與接口技術LOOP2:JNB RI,$ CL

57、R RI MOV A,SBUF MOV R0,A INC R0 ADD A,R6 MOV R6,A DJNZ R7,LOOP2 JNB RI,$ CLR RI MOV A,SBUF ;接收校驗和并判斷 XRL A,R6 JZ XYOK ;校驗正確 MOV SBUF,#0FFH ;校驗錯誤 JNB TI,$ CLR TI LJMP RE_DATA 第6章 串行接口單片機原理與接口技術XYOK :MOV SBUF,#00H ;校驗和正確,發(fā)00H JNB TI,$ CLR TI SETB TB8 ;置地址標志 LJMP RETEND SE_DATA:MOV R6,#00H ;發(fā)送16個字節(jié)數(shù)據(jù) M

58、OV R0,#30H MOV R7,#10H LOOP3 :MOV A,R0 MOV SBUF,A JNB TI,$ CLR TI INC R0 ADD A,R6 MOV R6,A DJNZ R7,LOOP3第6章 串行接口單片機原理與接口技術 MOV A,R6 MOV SBUF,A ;發(fā)校驗和 JNB TI,$ CLR TI JNB RI,$ CLR RI MOV A,SBUF XRL A,#00H JZ RET_END ;從機接收正確 SJMP SE_DATA ;從機接收不正確,重新發(fā)送 RET_END:RET第6章 串行接口單片機原理與接口技術從機程序清單:設本機號存于40H單元,41H

59、單元存放“發(fā)送”命令,42H單元存放“接收”命令。 MAIN:MOV TMOD,#20H ;初始化串行口 MOV TH1,#0FDH MOV TL1,#0FDH MOV PCON,#00H SETB TR1 MOV SCON,#0F0H LOOP1:SETB EA ;開中斷 SETB ES SETB RRDY ;發(fā)送與接收準備就緒 SETB TRDY SJMP LOOP1第6章 串行接口單片機原理與接口技術SERVE:PUSH PSW ;中斷服務程序 PUSH ACCCLR ES CLR RIMOV A,SBUF XRL A,40H ;判斷是否本機地址 JZ SER_OKLJMP ENDI ;

60、非本機地址,繼續(xù)監(jiān)聽SER_OK:CLR SM2 ;是本機地址,取消監(jiān)聽狀態(tài) MOV SBUF,40H ;本機地址發(fā)回 JNB TI,$CLR TI JNB RI,$ CLR RI JB RB8,ENDII ;是復位命令,恢復監(jiān)聽 MOV A,SBUF ;不是復位命令,判是“發(fā)送”還是“接收” XRL A,41H JZ SERISE ;收到“發(fā)送”命令,發(fā)送處理 MOV A,SBUF XRL A,42H JZ SERIRE ;收到“接收”命令,接收處理 SJMP FFML ;非法命令,轉非法處理 第6章 串行接口單片機原理與接口技術SERISE:JB TRDY,SEND ;從機發(fā)送是否準備好

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論