南昌大學數(shù)字電路與邏輯設計課件第9章教材_第1頁
南昌大學數(shù)字電路與邏輯設計課件第9章教材_第2頁
南昌大學數(shù)字電路與邏輯設計課件第9章教材_第3頁
南昌大學數(shù)字電路與邏輯設計課件第9章教材_第4頁
南昌大學數(shù)字電路與邏輯設計課件第9章教材_第5頁
已閱讀5頁,還剩36頁未讀 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權,請進行舉報或認領

文檔簡介

1、第第9章章 半導體存儲器及其應用半導體存儲器及其應用 9.1存儲器概述存儲器概述 9.1.1 存儲器分類存儲器分類 1. 按存儲介質(zhì)分類按存儲介質(zhì)分類 半導體存儲器半導體存儲器磁存儲器磁存儲器光存儲器光存儲器 2. 按存取功能分類按存取功能分類 只讀存儲器(只讀存儲器(ROM)隨機存取存儲器(隨機存取存儲器(RAM) 3.按制造工藝分類按制造工藝分類 雙極型存儲器雙極型存儲器MOS型存儲器型存儲器 4根據(jù)數(shù)據(jù)的輸入根據(jù)數(shù)據(jù)的輸入/輸出方式分類輸出方式分類 串行存儲器串行存儲器并行存儲器并行存儲器 9.1.2 半導體存儲器的性能指標半導體存儲器的性能指標 1存儲容量存儲容量 2存取速度存取速度

2、9.2.1 RAM的分類及其結(jié)構(gòu)的分類及其結(jié)構(gòu) 1RAM分類分類 ()(SRAMRAM SRAMSRAMFPM DRAMRAMEDO DRAMRAM DRAMBEDO DRAMEDOSDRAM異步;即異步邏輯控制型靜態(tài)()同步;即同步邏輯控制型(快速頁面模式)(擴充數(shù)據(jù)輸出)動態(tài)(突發(fā)同步)9.2 隨機存取存儲器隨機存取存儲器 圖圖9-1 RAM的電路結(jié)構(gòu)框圖的電路結(jié)構(gòu)框圖9.2.1 RAM的分類及其結(jié)構(gòu)的分類及其結(jié)構(gòu) 2RAM的基本結(jié)構(gòu)的基本結(jié)構(gòu) 讀讀寫寫控控制制電電路路行行地地址址譯譯碼碼器器列列地址譯碼器地址譯碼器存儲矩陣存儲矩陣行行地地址址輸輸入入數(shù)據(jù)數(shù)據(jù)I/OA0AiA i+1 A

3、n-1列地址輸入列地址輸入片選片選 讀讀/寫控制寫控制CSWE9.2.2 SRAM的結(jié)構(gòu)的結(jié)構(gòu) 1SRAM的基本存儲單元的基本存儲單元 READD QCLK三態(tài)門三態(tài)門 DATA in/out圖圖9-2 靜態(tài)靜態(tài)RAM基本存儲單元基本存儲單元SELECT9.2.2 SRAM的結(jié)構(gòu)的結(jié)構(gòu) 2用用D觸發(fā)器構(gòu)成觸發(fā)器構(gòu)成SRAM結(jié)構(gòu)結(jié)構(gòu) DCEQDCEQDCEQDCEQDCEQDCEQDCEQDCEQ2|4譯譯碼碼器器A1A0WE D1 D0 Q1 Q0圖圖9-3 42靜態(tài)靜態(tài)RAM結(jié)構(gòu)結(jié)構(gòu)9.2.2 SRAM的結(jié)構(gòu)的結(jié)構(gòu) 3SRAM存儲矩陣結(jié)構(gòu)存儲矩陣結(jié)構(gòu) 數(shù)據(jù)輸入數(shù)據(jù)輸入/輸出緩沖器和控制輸出緩

4、沖器和控制數(shù)據(jù)數(shù)據(jù)D0數(shù)據(jù)數(shù)據(jù)D1數(shù)據(jù)數(shù)據(jù)D2數(shù)據(jù)數(shù)據(jù)D3行選擇線行選擇線0行選擇線行選擇線1行選擇線行選擇線n行選擇線行選擇線3行選擇線行選擇線2基本基本存儲單元存儲單元圖圖9-4 基本基本SRAM陣列陣列 4SRAM常用器件常用器件 圖圖9-5 6264芯片引腳圖芯片引腳圖 圖圖9-6 6264的內(nèi)部結(jié)構(gòu)框圖的內(nèi)部結(jié)構(gòu)框圖 9.2.2 SRAM的結(jié)構(gòu)的結(jié)構(gòu) 4SRAM常用器件常用器件 表表9-1 6264的工作方式的工作方式 CSOEWE未選未選1寫寫010讀讀100工作方式工作方式9.2.3 DRAM存儲數(shù)據(jù)原理存儲數(shù)據(jù)原理 刷新刷新放大器放大器行選擇信號行選擇信號列選擇信號列選擇信號基

5、本存儲單元基本存儲單元數(shù)據(jù)輸入數(shù)據(jù)輸入/輸出線輸出線CT圖圖9-7 單管動態(tài)單管動態(tài)RAM基本存儲單元電路基本存儲單元電路9.2.4 SRAM的擴展方法的擴展方法 1位擴展位擴展 A0 A1 A12A0 A1 A12I/O0 I/O7 I/O0 I/O7 D0 D7 D8 D15 8k88k8/R W/R WCSCS/R WCSA0 A1 A12圖圖9-8 RAM的位擴展法的位擴展法 9.2.4 SRAM的擴展方法的擴展方法 2. 字擴展字擴展 圖圖9-9 RAM的字擴展的字擴展 A0 A1 A12A0 A1 A12I/O0 I/O7 I/O0 I/O7 D0 D7 8K88K8D0 D7 /

6、R W/R WCSCS/R WA0 A1 A12A139.3 只讀存儲器只讀存儲器 9.3.1 ROM分類與結(jié)構(gòu)分類與結(jié)構(gòu) 1ROM分類分類 )()()()()(PROMROMEPROMPROMROMUV EPROMROMEEPROMEEPROMEPROMEEPROMOTP ROMROMFLASHROM掩膜ROM(可編程可擦除紫外線擦除EP并行電可擦除串行一次性可編程閃存)9.3.1 ROM分類與結(jié)構(gòu)分類與結(jié)構(gòu) 2ROM的結(jié)構(gòu)的結(jié)構(gòu) 圖圖9-10 ROM的電路結(jié)構(gòu)框圖的電路結(jié)構(gòu)框圖 地地址址譯譯碼碼器器存存 儲儲矩矩 陣陣輸輸出出控控制制電電路路地址地址輸入輸入數(shù)據(jù)數(shù)據(jù)輸出輸出控制信號控制信號

7、9.3.2 掩膜掩膜 ROM 圖圖9-11 44位的位的MOS型型ROM存儲矩陣存儲矩陣 9.3.3 可編程可編程ROM結(jié)構(gòu)原理結(jié)構(gòu)原理 1可編程可編程ROM(Programmable ROM,簡稱,簡稱PROM) VCC字線字線位線位線(a)(b)圖圖9-12 擊穿型和熔絲型存儲單元電路擊穿型和熔絲型存儲單元電路字線字線位線位線圖圖9-14 E EPROM 2764的外觀圖的外觀圖圖圖9-13 E EPROM的內(nèi)部結(jié)構(gòu)的內(nèi)部結(jié)構(gòu)9.3.3 可編程可編程ROM結(jié)構(gòu)原理結(jié)構(gòu)原理 2可擦除可編程可擦除可編程ROM 地地址址譯譯碼碼器器A0A1+5V場效應場效應晶體管晶體管O0O1O2O3OE 圖圖

8、9-15 EPROM 2764的外部引腳圖的外部引腳圖 9.3.3 可編程可編程ROM結(jié)構(gòu)原理結(jié)構(gòu)原理 2可擦除可編程可擦除可編程ROM (a) 引腳信號分布圖引腳信號分布圖(b)引腳信號框圖)引腳信號框圖表表9-2 EPROM的操作模式的操作模式 9.3.3 可編程可編程ROM結(jié)構(gòu)原理結(jié)構(gòu)原理 2可擦除可編程可擦除可編程ROM 說明:說明:= VIH或或VIL,VID=12V V0.5%0.5%代碼輸出代碼輸出VCCVIDVIHVILVIL讀電子標簽讀電子標簽高阻高阻VCCVIH待機待機高阻高阻VPPVIH編程禁止編程禁止數(shù)據(jù)輸出數(shù)據(jù)輸出VPPVIHVILVIL校驗校驗數(shù)據(jù)輸入數(shù)據(jù)輸入VPP

9、VIL脈沖脈沖VIHVIL編程編程高阻高阻VCCVIHVIHVIL禁止輸出禁止輸出數(shù)據(jù)輸出數(shù)據(jù)輸出VCCVIHVILVIL讀數(shù)據(jù)讀數(shù)據(jù)Q0- -Q7VPPA9操作模式操作模式EGP圖圖9-16 EEPROM2864的外部引腳圖的外部引腳圖 9.3.3 可編程可編程ROM結(jié)構(gòu)原理結(jié)構(gòu)原理 3電可擦型可編程電可擦型可編程ROM 【例【例9-1】將將2片片8K8的的EPROM2764擴展成擴展成8K16的存儲器。的存儲器。 圖圖9-17 兩片兩片2764擴展成擴展成8KB16位位UVEPROM 【例【例9-2】對對EPROM進行字擴展,將進行字擴展,將8片片2764擴展成擴展成64K8的程序存的程序

10、存儲器。儲器。 圖圖9-18 8片片2764擴展成擴展成64KB8 位的位的UVEPROM 解:解: 9.3.4 其它類型的存儲器其它類型的存儲器 1. 快閃存儲器快閃存儲器Flash Memory 2. 非易失性靜態(tài)讀寫存儲器非易失性靜態(tài)讀寫存儲器NVSRAM 3. 串行存儲器串行存儲器 4.多端口存儲器多端口存儲器MPRAM9.4 FPGA中的嵌入式存儲器中的嵌入式存儲器 圖圖9-19 用用EAB構(gòu)成不同結(jié)構(gòu)的構(gòu)成不同結(jié)構(gòu)的RAM和和ROM 9.5 存儲器應用示例存儲器應用示例 圖圖9-20 選擇使用選擇使用LPM_ROM模塊模塊 9.5.1 利用利用LPM_ROM設計查表式硬件乘法器設計

11、查表式硬件乘法器 圖圖9-21 對對LPM_ROM模塊設置必要的參數(shù)模塊設置必要的參數(shù) 9.5.1 利用利用LPM_ROM設計查表式硬件乘法器設計查表式硬件乘法器 圖圖9-22 為為LPM_ROM選擇初始化配置文件選擇初始化配置文件rom_data.mif 9.5.1 利用利用LPM_ROM設計查表式硬件乘法器設計查表式硬件乘法器 圖圖9-23 乘法器測試電路乘法器測試電路【例【例9-3】rom_data.mif文件:文件:WIDTH = 8 ;DEPTH = 256 ;ADDRESS_RADIX = HEX ;DATA_RADIX = HEX ;CONTENT BEGIN 00:00 ; 0

12、1:00 ; 02:00 ; 03:00 ; 04:00 ; 05:00 ; 06:00 ; 07:00 ; 08:00 ; 09:00; 10:00 ; 11:01 ; 12:02 ; 13:03 ; 14:04 ; 15:05 ; 16:06 ; 17:07 ; 18:08 ; 19:09; 20:00 ; 21:02 ; 22:04 ; 23:06 ; 24:08 ; 25:10 ; 26:12 ; 27:14 ; 28:16 ; 29:18; 30:00 ; 31:03 ; 32:06 ; 33:09 ; 34:12 ; 35:15 ; 36:18 ; 37:21 ; 38:24 ;

13、39:27; 40:00 ; 41:04 ; 42:08 ; 43:12 ; 44:16 ; 45:20 ; 46:24 ; 47:28 ; 48:32 ; 49:36; 50:00 ; 51:05 ; 52:10 ; 53:15 ; 54:20 ; 55:25 ; 56:30 ; 57:35 ; 58:40 ; 59:45; 60:00 ; 61:06 ; 62:12 ; 63:18 ; 64:24 ; 65:30 ; 66:36 ; 67:42 ; 68:48 ; 69:54; 70:00 ; 71:07 ; 72:14 ; 73:21 ; 74:28 ; 75:35 ; 76:42 ;

14、77:49 ; 78:56 ; 79:63; 80:00 ; 81:08 ; 82:16 ; 83:24 ; 84:32 ; 85:40 ; 86:48 ; 87:56 ; 88:64 ; 89:72; 90:00 ; 91:09 ; 92:18 ; 93:27 ; 94:36 ; 95:45 ; 96:54 ; 97:63 ; 98:72 ; 99:81;END ; 圖圖9-24 ROM乘法器時序仿真波形乘法器時序仿真波形 9.5.1 利用利用LPM_ROM設計查表式硬件乘法器設計查表式硬件乘法器 圖圖9-25 邏輯數(shù)據(jù)采樣電路頂層設計邏輯數(shù)據(jù)采樣電路頂層設計 9.5.2 簡易邏輯分析儀設計

15、簡易邏輯分析儀設計 1. 基本電路結(jié)構(gòu)基本電路結(jié)構(gòu) 圖圖9-26 LPM RAM參數(shù)設置參數(shù)設置 2. 調(diào)入調(diào)入LPM_RAM_DQ模塊模塊 圖圖9-27 增加時鐘使能控制增加時鐘使能控制 2. 調(diào)入調(diào)入LPM_RAM_DQ模塊模塊 圖圖9-28 允許在系統(tǒng)存儲器內(nèi)容編輯器能對此允許在系統(tǒng)存儲器內(nèi)容編輯器能對此RAM編輯編輯 2. 調(diào)入調(diào)入LPM_RAM_DQ模塊模塊 圖圖9-29 鍵入默認參數(shù)鍵入默認參數(shù) 3. 調(diào)入計數(shù)器模塊調(diào)入計數(shù)器模塊LPM_COUNTER 4. 完成最后設計完成最后設計 圖圖9-30 加入默認參數(shù)加入默認參數(shù) 4. 完成最后設計完成最后設計 5. 系統(tǒng)功能分析系統(tǒng)功能

16、分析 圖圖9-31 邏輯數(shù)據(jù)采樣電路時序仿真波形邏輯數(shù)據(jù)采樣電路時序仿真波形 6. 系統(tǒng)時序仿真系統(tǒng)時序仿真 9-1查表式硬件運算器設計查表式硬件運算器設計(1)按照)按照9.5.1節(jié)的流程,設計一個節(jié)的流程,設計一個4X4bit查表式乘法器。包括創(chuàng)建工程、查表式乘法器。包括創(chuàng)建工程、調(diào)用調(diào)用LPM_ROM模塊模塊MULT4、在原理圖編輯窗中繪制電路圖,全程編譯,、在原理圖編輯窗中繪制電路圖,全程編譯,對設計進行時序仿真,根據(jù)仿真波形說明此電路的功能,引腳鎖定編譯,編對設計進行時序仿真,根據(jù)仿真波形說明此電路的功能,引腳鎖定編譯,編程下載于程下載于FPGA中,進行硬件測試。完成實驗報告。中,進

17、行硬件測試。完成實驗報告。(2)在以上實驗的基礎上,增加一些電路(必要時可以復用模塊)在以上實驗的基礎上,增加一些電路(必要時可以復用模塊MULT4),),完成一個完成一個8X8bit查表式乘法器的設計。根據(jù)以上實驗的要求,完成完整的實查表式乘法器的設計。根據(jù)以上實驗的要求,完成完整的實驗流程。驗流程。(3)利用查表完成算法的原理,對下式進行計算(也可自行確定需要計算的)利用查表完成算法的原理,對下式進行計算(也可自行確定需要計算的公式),并通過時序分析報告了解其公式),并通過時序分析報告了解其“運算運算”速度。計算精度和數(shù)據(jù)區(qū)域根速度。計算精度和數(shù)據(jù)區(qū)域根據(jù)所能設定的據(jù)所能設定的ROM的大小

18、自行決定。的大小自行決定。按照以上實驗的要求,完成完整的實驗流程。按照以上實驗的要求,完成完整的實驗流程。 22( , )8(2)2()2f x ySINxyCOSx9-2使用使用QuartusII完成存儲器設計完成存儲器設計調(diào)用宏功能庫調(diào)用宏功能庫megafunction功能完成圖功能完成圖9-32所示三種存儲器結(jié)構(gòu)設計,所示三種存儲器結(jié)構(gòu)設計,對于對于lpm_ram_dq和和lpm_rom建立存儲器初始化文件,并允許使用存儲建立存儲器初始化文件,并允許使用存儲器內(nèi)容編輯器器內(nèi)容編輯器In-System Memory Content Editor,編輯修改存儲器,編輯修改存儲器中的內(nèi)容。包括

19、創(chuàng)建工程,在原理圖編輯窗中繪制電路圖,全程編譯,對設中的內(nèi)容。包括創(chuàng)建工程,在原理圖編輯窗中繪制電路圖,全程編譯,對設計進行時序仿真引腳鎖定編譯,編程下載于計進行時序仿真引腳鎖定編譯,編程下載于FPGA中,進行硬件測試。完成實中,進行硬件測試。完成實驗報告。驗報告。 data7.0wrenaddress4.0clockq7.0lpm_ram_dq0inst28 bits x 32 wordsdata7.0wrreqrdreqclockq7.0fullemptyusedw4.0lpm_fifo0inst3address4.0clockq7.0lpm_rom1inst4圖圖9-32 LPM存儲器模

20、塊存儲器模塊 9-3利用利用LPM_ROM實現(xiàn)碼型變換實現(xiàn)碼型變換在實驗在實驗9-2基礎上,實現(xiàn)碼型變換功能。實驗電路如圖基礎上,實現(xiàn)碼型變換功能。實驗電路如圖9-33所示,以計數(shù)器所示,以計數(shù)器的輸出作為存儲器的地址。利用的輸出作為存儲器的地址。利用lpm_rom實現(xiàn)碼型變換,建立實現(xiàn)碼型變換,建立lpm_rom存儲信息表初始化文件,完成下列碼型轉(zhuǎn)換。存儲信息表初始化文件,完成下列碼型轉(zhuǎn)換。 圖圖9-33 用用LPM_ROM實現(xiàn)碼型變換實現(xiàn)碼型變換 (1) 當計數(shù)器的値在當計數(shù)器的値在0000001001時,實現(xiàn)四位時,實現(xiàn)四位8421BCD碼轉(zhuǎn)換為余碼轉(zhuǎn)換為余3碼;碼;(2) 當計數(shù)器的値

21、在當計數(shù)器的値在1000011001時,實現(xiàn)四位時,實現(xiàn)四位8421BCD碼轉(zhuǎn)換為格雷碼。碼轉(zhuǎn)換為格雷碼。(3) 用存儲器內(nèi)容編輯器編輯用存儲器內(nèi)容編輯器編輯LPM_ROM的內(nèi)容,實現(xiàn)的內(nèi)容,實現(xiàn)4位二進制碼到格雷碼的轉(zhuǎn)位二進制碼到格雷碼的轉(zhuǎn)換。換。(4) 用存儲器內(nèi)容編輯器調(diào)入新的用存儲器內(nèi)容編輯器調(diào)入新的LPM_ROM配置文件,實現(xiàn)配置文件,實現(xiàn)4位二進制碼到格雷位二進制碼到格雷碼的轉(zhuǎn)換。碼的轉(zhuǎn)換。包括創(chuàng)建工程、在原理圖編輯窗中繪制圖包括創(chuàng)建工程、在原理圖編輯窗中繪制圖E7-2電路、全程編譯、對設計進行時序仿電路、全程編譯、對設計進行時序仿真、根據(jù)仿真波形說明此電路的功能、引腳鎖定編譯、編程下載于真、根據(jù)仿真波形說明此電路的功能、引腳鎖定編譯、編程下載于FPGA中,進行中,進行硬件測試。完成實驗報告。硬件測試。完成實驗報告。 9-4簡易邏

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論