版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、 Shaanxi University of Technology通信工程專業(yè)課程設(shè)計(jì)題 目 2FSK 調(diào) 制 與 解 調(diào) 系 統(tǒng) 設(shè) 計(jì) 學(xué)生姓名 學(xué)號(hào) 所在院(系) 陜 西 理 工 學(xué) 院 電 信 工 程 系 專業(yè)班級(jí) 指導(dǎo)教師 完成地點(diǎn) 陜 西 理 工 學(xué) 院 電 信 工 程 系 實(shí) 驗(yàn) 室2011年 3 月 11 日通信工程專業(yè)課程設(shè)計(jì)任務(wù)書院(系) 電 信 工 程 系 專業(yè)班級(jí) 學(xué)生姓名 一、課程設(shè)計(jì)題目 2FSK 調(diào) 制 與 解 調(diào) 系 統(tǒng) 設(shè) 計(jì) 二、課程設(shè)計(jì)工作自 2021 年 月 日 起至 2021 年 月 日止三、課程設(shè)計(jì)進(jìn)行地點(diǎn): 電 信 工 程 系 實(shí) 驗(yàn) 室 四、課程
2、設(shè)計(jì)的內(nèi)容要求: 1、查資料弄清楚2FSK 調(diào) 制 與 解 調(diào) 系 統(tǒng) 的 原理; 2、設(shè)計(jì)2FSK調(diào)制與解調(diào)系統(tǒng); 3、在MAX+PLUS軟件平臺(tái)上實(shí)現(xiàn)2FSK信號(hào)的調(diào)制與解調(diào)與仿真; 4、選用適當(dāng)可編程邏輯器件進(jìn)行硬件實(shí)現(xiàn); 5、完成課設(shè)報(bào)告含原理、組成框圖、原理圖、調(diào)試仿真步驟等。 進(jìn)度安排: 1方案準(zhǔn)備及比擬 2方案確定及編程 3程序調(diào)試及仿真 4硬件測(cè)試及寫報(bào)告總結(jié) 指 導(dǎo) 教 師 系(教 研 室) 通 信 工 程 教 研 室 接受任務(wù)開始執(zhí)行日期 學(xué)生簽名 目 錄摘要- 1 -Abstract- 2 -1. 緒論- 3 -1.1 課題背景- 3 -1.2 課題的主要研究工作- 3
3、-2. 方案論證- 4 -2.1 2FSK調(diào)制解調(diào)的根本原理- 4 -2.1.1 二進(jìn)制頻移鍵控調(diào)制器與解調(diào)器的原理- 4 -2.1.2 2FSK信號(hào)的表達(dá)式和波形圖- 4 -2.2 方案的比擬與選擇- 5 -2.2.1 2FSK調(diào)制方案的比擬與選擇- 5 -2.2.2 2FSK調(diào)制方案的選擇- 6 -2.2.3 2FSK信號(hào)解調(diào)方案的比擬與選擇- 7 -2.2.4 2FSK解調(diào)方案的選擇- 10 -3. 電路設(shè)計(jì)及仿真結(jié)果分析- 11 -3.1 2FSK信號(hào)調(diào)制系統(tǒng)的設(shè)計(jì)- 11 -3.1.1 分頻器- 11 -3.1.2 偽隨機(jī)序列發(fā)生器- 12 -3.1.3 數(shù)據(jù)選擇器- 14 -3.1
4、.4 2FSK調(diào)制系統(tǒng)的仿真及結(jié)果分析- 14 -3.2 2FSK信號(hào)解調(diào)系統(tǒng)的設(shè)計(jì)- 15 -3.2.1 時(shí)鐘計(jì)數(shù)器C- 16 -3.2.2 計(jì)數(shù)器Q- 16 -3.2.3 判決器D- 17 -3.2.4 2FSK解調(diào)系統(tǒng)的仿真及結(jié)果分析- 17 -3.3 調(diào)制解調(diào)系統(tǒng)整體仿真及結(jié)果分析- 18 -3.4 2FSK調(diào)制解調(diào)系統(tǒng)在示波器上的顯示- 19 -結(jié)論22致謝23參考文獻(xiàn)24附錄252FSK調(diào)制與解調(diào)系統(tǒng)設(shè)計(jì)陜西理工學(xué)院通信工程系0,陜西,漢中 723003指導(dǎo)老師: 摘 要FSK是數(shù)字調(diào)制的一種方法,其原理是利用數(shù)字信號(hào)的離散取值特點(diǎn)通過開關(guān)對(duì)載波的頻率進(jìn)行鍵控,所產(chǎn)生的信號(hào)稱為FS
5、K信號(hào)。該信號(hào)使得數(shù)字信號(hào)可以在帶通信道中進(jìn)行傳輸。本次課程設(shè)計(jì)就是在EDA實(shí)驗(yàn)板上用VHDL語(yǔ)言來(lái)實(shí)現(xiàn)FSK的調(diào)制解調(diào)系統(tǒng)。采用鍵控法對(duì)載波進(jìn)行調(diào)制,用過零檢測(cè)法對(duì)調(diào)制信號(hào)進(jìn)行解調(diào)。用4級(jí)移位存放器產(chǎn)生偽隨機(jī)序列作為調(diào)制信號(hào)。仿真成功后下載到實(shí)驗(yàn)板上,通過示波器分別觀察調(diào)制信號(hào)和已調(diào)波;調(diào)制信號(hào)和解調(diào)信號(hào),與波形仿真結(jié)果相同,但由于噪聲的影響,使得示波器的波形有毛刺。關(guān)鍵詞FSK調(diào)制解調(diào),VHDL,鍵控法,過零檢測(cè)法中圖分類號(hào) 文獻(xiàn)標(biāo)志碼 AFSK modulation and demodulation(Grade08,Clas2,Major of Communication Enginee
6、ring,Dept. of E.I.of Shaanxi University of Technology, Hanzhong 723003,China)Tutor:AbstractFSK is a method of digital modulation, the principle is the use of digital signal characteristics of discrete values by switching on the carrier frequency shift keying, the resulting signal as FSK signals. Thi
7、s signal allows the digital signal can be transmitted with a communication channel. The course design is used in the EDA VHDL language test board to achieve FSK modulation and demodulation system. By keying of the carrier modulation, zero-detection method used to demodulate the modulated signals. Sh
8、ift register with four pseudo-random sequence generated as the modulation signal. Simulation successfully downloaded to the experimental board, were observed by the oscilloscope signal and the modulated wave modulation; modulation signal and demodulated signal, and waveform simulation results are th
9、e same, but because of noise, making the oscilloscope waveform has glitches.Key words FSK modulation and demodulation;VHDL;Shift Keying;zero-crossing detection method1. 緒論1.1 課題背景數(shù)字頻率調(diào)制又稱頻移鍵控(FsKFrequency Shift Keying),二進(jìn)制頻移鍵控記作2FSK。數(shù)字頻移鍵控是用載波的頻率來(lái)傳送數(shù)字消息,即用所傳送的數(shù)字消息控制載波的頻率。 2FSK信號(hào)便是符號(hào)“1對(duì)應(yīng)于載頻,而符號(hào)“0對(duì)應(yīng)于載
10、頻與不同的另一載頻的已調(diào)波形,而且與之間的改變是瞬間完成的。從原理上講,數(shù)字調(diào)頻可 用模擬調(diào)頻法來(lái)實(shí)現(xiàn),也可用鍵控法來(lái)實(shí)現(xiàn)。模擬調(diào)頻法是利用一個(gè)矩形脈沖序列對(duì)一個(gè)載波進(jìn)行調(diào)頻,是頻移鍵控通信方式早期采用的實(shí)現(xiàn)方法。2FSK鍵控法 那么是利用受矩形脈沖序列控制的開關(guān)電路對(duì)兩個(gè)不同的獨(dú)立頻率源進(jìn)行選通。鍵控法的特點(diǎn)是轉(zhuǎn)換速度快、波形好、穩(wěn)定度高且易于實(shí)現(xiàn),故應(yīng)用廣泛。隨著電子計(jì)算機(jī)的普及,數(shù)據(jù)通信技術(shù)正在迅速開展。數(shù)字頻率調(diào)制是數(shù)據(jù)通信中常見的一種調(diào)制方式。頻移鍵控FSK方法簡(jiǎn)單,易于實(shí)現(xiàn),并且解調(diào)不須恢復(fù)本地載波,可以異步傳輸,抗噪聲和抗衰落性能也較強(qiáng)。因此,F(xiàn)SK調(diào)制技術(shù)在通信行業(yè)得到了廣泛地
11、應(yīng)用,并且主要適用于用于低、中速數(shù)據(jù)傳輸。由于FSK調(diào)制解調(diào)原理相比照擬簡(jiǎn)單,作為數(shù)字通信原理的入門學(xué),理解FSK后可以容易理解其他更復(fù)雜的調(diào)制系統(tǒng),為以后的進(jìn)一步開展打下根底。1.2 課題的主要研究工作課題主要研究2FSK信號(hào)的調(diào)制解調(diào)系統(tǒng)的實(shí)現(xiàn),完成對(duì)數(shù)字信號(hào)的調(diào)制及解調(diào),使系統(tǒng)簡(jiǎn)單,并要調(diào)制解調(diào)過程容易實(shí)現(xiàn),能正確的完成調(diào)制解調(diào)任務(wù)。2. 方案論證2.1 2FSK調(diào)制解調(diào)的根本原理2 二進(jìn)制頻移鍵控調(diào)制器與解調(diào)器的原理用基帶信號(hào)對(duì)高頻載波的瞬時(shí)頻率進(jìn)行控制的調(diào)制方式叫做調(diào)頻,在數(shù)字調(diào)制系統(tǒng)中那么稱為頻移鍵控(FSK)。頻移鍵控在數(shù)字通信中是使用較早的一種調(diào)制方式,這種方式實(shí)現(xiàn)起來(lái)比擬容易
12、,抗干擾和抗衰落的性能也較強(qiáng)。其缺點(diǎn)是占用頻帶較寬,頻帶利用串不夠高,因此,額移鍵控主要應(yīng)用于低、中速數(shù)據(jù)的傳輸,以及衰落信道與頻帶較寬的信道。2.1.2 2FSK信號(hào)的表達(dá)式和波形圖頻移鍵控是利用載波的頻率變化來(lái)傳遞數(shù)字信息。在2FSK中,載波的頻率隨二進(jìn)制基帶信號(hào)在和兩個(gè)頻率點(diǎn)間變化。故其表達(dá)式為 式2.1假設(shè)二進(jìn)制序列st為l01001時(shí),那么2FSK信號(hào)的波形如下圖2圖2.1 2FSK信號(hào)的波形從圖中可以看出,一個(gè)2FSK信號(hào)可以看成是兩個(gè)不同載頻的2ASK信號(hào)的疊加。因此,2FSK信號(hào)的時(shí)域表達(dá)式又可寫成式中:g(t)為單個(gè)矩形脈沖,脈寬為;是的反碼,假設(shè)=1,那么=0;假設(shè)=0,那
13、么=1,于是和分別是第n個(gè)信號(hào)碼元的初相位。在移頻鍵控中,和不攜帶信息,通??闪詈蜑榱?。2.1.3 2FSK信號(hào)的帶寬由式(2.1)可知,2FSK信號(hào)可以看成是兩個(gè)不同載頻的振幅鍵控信號(hào)之和,因此它的頻帶寬度是兩倍數(shù)字基帶信號(hào)帶寬(B)與之和,即:2.2 方案的比擬與選擇2 2FSK調(diào)制方案的比擬與選擇2FSK信號(hào)產(chǎn)生的方法主要有兩種。一種可以采用模擬電路來(lái)實(shí)現(xiàn)即直接調(diào)頻法;另一種可以采用鍵控法來(lái)實(shí)現(xiàn)。.1 直接調(diào)頻法原理所謂直接調(diào)頻法,就是用數(shù)字基帶信號(hào)去控制一個(gè)振蕩器的某種參數(shù)而到達(dá)改變振蕩頻率的目的。如下圖模 擬調(diào) 頻 器圖2.2 直接調(diào)頻法原理框圖.2 鍵控法原理該方法就是在二進(jìn)制基帶
14、矩形脈沖序列的控制 下通過開關(guān)電路對(duì)兩個(gè)不同的獨(dú)立頻率源進(jìn)行選通,使其在每一個(gè)碼元期間輸出或兩個(gè)載波之一。其原理如下圖1.2.2,它將產(chǎn)生二進(jìn)制FSK信號(hào)。圖中,數(shù)字信號(hào)控制兩個(gè)獨(dú)立振蕩器。門電路即開關(guān)電路和按數(shù)字信號(hào)的變化規(guī)律通斷。假設(shè)門翻開,那么門關(guān)閉故輸出為,反之那么輸出。這種方法的特點(diǎn)是轉(zhuǎn)換速度快、波形好,而且頻率穩(wěn)定度可以做得很高。頻率鍵控法還可以借助數(shù)字電路來(lái)實(shí)現(xiàn)。以上兩種FSK信號(hào)的調(diào)制方法的差異在于:由直接調(diào)頻法產(chǎn)生的2FSK信號(hào)在相鄰碼元之間的相位是連續(xù)變化的。這一類特殊的FSK,稱為連續(xù)相位FSKContinous-Phase FSK,CPFSK而鍵控法產(chǎn)生的2FSK信號(hào),
15、是由電子開關(guān)在兩個(gè)獨(dú)立的頻率源之間轉(zhuǎn)換形成,故相鄰碼元之間的相位不一定連續(xù)。f1門電路1門電路2相加倒相f2基帶信號(hào)輸入圖2.3 鍵控法原理框圖 2FSK調(diào)制方案的選擇我們組選擇采用鍵控法來(lái)產(chǎn)生2FSK信號(hào),主要基于以下3個(gè)原因:1:MAX+Plus所用的實(shí)驗(yàn)板以數(shù)字信號(hào)為主,而鍵控法用VHDL語(yǔ)言和邏輯電路很容易實(shí)現(xiàn)。2:直接調(diào)頻法產(chǎn)生的移頻鍵控信號(hào)雖易于實(shí)現(xiàn),但由于是同一振蕩器產(chǎn)生兩個(gè)不同頻率的信號(hào),在頻率變換的過渡點(diǎn)相位是連續(xù)的,其頻率穩(wěn)定度較差。而且這種方法產(chǎn)生的FSK信號(hào)頻移不能太大,否那么振蕩不穩(wěn),甚至停振,因而實(shí)際應(yīng)用范圍不廣,僅適用于低速傳輸系統(tǒng)。3:頻率鍵控法是用數(shù)字矩形脈沖
16、控制電子開關(guān),使電子開關(guān)在兩個(gè)獨(dú)立的振蕩器之間進(jìn)行轉(zhuǎn)換,從而在輸出端得到不同頻率的已調(diào)信號(hào)。由于產(chǎn)生和載頻是由兩個(gè)獨(dú)立的振蕩器實(shí)現(xiàn),那么輸出的2FSK信號(hào)的相位是不連續(xù)的。這種方法的特點(diǎn)是轉(zhuǎn)換速度快,波形好,頻率穩(wěn)定度高,電路不甚復(fù)雜,在實(shí)用中可以用一個(gè)頻率合成器代替兩個(gè)獨(dú)立的振蕩器,再經(jīng)分頻鏈,進(jìn)行不同的分頻,也可得到2FSK信號(hào)。2.2.3 2FSK信號(hào)解調(diào)方案的比擬與選擇數(shù)字調(diào)頻信號(hào)的解調(diào)方法很多,如相干檢測(cè)法、包絡(luò)檢波法、過零檢測(cè)法、差分檢測(cè)法等。下面就相干檢測(cè)法、非相干檢測(cè)法、過零檢測(cè)法和差分檢測(cè)法進(jìn)行介紹。.1 濾波+包絡(luò)檢波法2FSK信號(hào)的包絡(luò)檢波法解調(diào)方框圖如下圖2,其可視為由
17、兩路2ASK解調(diào)電路組成。這里,兩個(gè)帶通濾波器帶寬相同,皆為相應(yīng)的2ASK信號(hào)帶寬;中心頻率不同,分別為、起分路作用,用以分開兩路2ASK信號(hào),上支路對(duì)應(yīng),下支路對(duì)應(yīng),經(jīng)包絡(luò)檢測(cè)后分別取出它們的包絡(luò)及;抽樣判決器起比擬器作用,把兩路包絡(luò)信號(hào)同時(shí)送到抽樣判決器進(jìn)行比擬,從而判決輸出基帶數(shù)字信號(hào)。假設(shè)上、下支路及的抽樣值分別用表示,那么抽樣判決器的判決準(zhǔn)那么為圖2.4 2FSK信號(hào)包絡(luò)檢波方框圖.2 相干檢測(cè)法相干檢測(cè)的具體解調(diào)電路是同步檢波器,原理方框圖如下圖2。圖中兩個(gè)帶通濾波器的作用同于包絡(luò)檢波法,起分路作用。它們的輸出分別與相應(yīng)的同步相干載波相乘,再分別經(jīng)低通濾波器濾
18、掉二倍頻信號(hào),取出含基帶數(shù)字信息的低頻信號(hào),抽樣判決器在抽樣脈沖到來(lái)時(shí)對(duì)兩個(gè)低頻信號(hào)的抽樣值進(jìn)行比擬判決判決規(guī)那么同于包絡(luò)檢波法,即可復(fù)原出基帶數(shù)字信號(hào)。圖2.5 2FSK相干檢測(cè)方框圖.3 過零檢測(cè)法單位時(shí)間內(nèi)信號(hào)經(jīng)過零點(diǎn)的次數(shù)多少,可以用來(lái)衡量頻率的上下。數(shù)字調(diào)頻波的過零點(diǎn)數(shù)隨不同載頻而異,故檢出過零點(diǎn)數(shù)可以得到關(guān)于頻率的差異,這就是過零檢測(cè)法的根本思想。過零檢測(cè)法方框圖及各點(diǎn)波形如下圖2。在圖中,2FSK信號(hào)經(jīng)限幅、微分、整流后形成與頻率變化相對(duì)應(yīng)的尖脈沖序列,這些尖脈沖的密集程度反映了信號(hào)的頻率上下,尖脈沖的個(gè)數(shù)就是信號(hào)過零點(diǎn)數(shù)。把這些尖脈沖變換成較寬的矩形脈沖,
19、以增大其直流分量,該直流分量的大小和信號(hào)頻率的上下成正比。然后經(jīng)低通濾波器取出此直流分量,這樣就完成了頻率幅度變換,從而根據(jù)直流分量幅度上的區(qū)別復(fù)原出數(shù)字信號(hào)“1和“0。圖2.6 過零檢測(cè)法方框圖及各點(diǎn)波形圖.4 差分檢波法差分檢波法的原理如下圖2,輸入信號(hào)經(jīng)接收濾波器濾除帶外無(wú)用信號(hào)后被分成兩路,一路直接送到乘法器平衡調(diào)制器,另一路經(jīng)時(shí)延送到乘法器,相乘后再經(jīng)低通濾波器提取信號(hào)。解調(diào)的原理可作如下說明:設(shè)輸入為,它與時(shí)延之波形的乘積為假設(shè)用低通濾波器除去倍頻分量,那么其輸出為可見,是角頻率偏移的函數(shù),但卻不是一個(gè)簡(jiǎn)單的函數(shù)關(guān)系。現(xiàn)在我們是當(dāng)?shù)剡x擇使那么有=,故此有 當(dāng)時(shí)或 當(dāng)時(shí)假設(shè)
20、角頻偏較?。?lt;<1,那么有 當(dāng)時(shí)或 當(dāng)時(shí)由此可見,當(dāng)滿足條件及<<1時(shí),輸出電壓將與角頻偏呈線性關(guān)系。這是鑒頻特性所要求的。差分檢波法基于輸入信號(hào)與延遲的信號(hào)相比擬,信道上的延遲失真,將同時(shí)影響相鄰信號(hào),故不影響最終的鑒頻效果。實(shí)踐說明,當(dāng)延遲失真為0時(shí),這種方法的檢測(cè)性能不如普通鑒頻法,但當(dāng)有較嚴(yán)重延遲失真使,它的性能要比鑒頻法優(yōu)越。不過差分檢波法的實(shí)現(xiàn)將要受條件的限制。帶通低通××圖2.7 差分檢波原理 2FSK解調(diào)方案的選擇由于過零檢測(cè)法用vhdl語(yǔ)言實(shí)現(xiàn)相對(duì)容易,且對(duì)于數(shù)字信號(hào)來(lái)說,過零檢測(cè)法較其他三種分析方法更簡(jiǎn)單,因此我們決定用過零檢測(cè)法
21、來(lái)實(shí)現(xiàn)FSK信號(hào)的解調(diào)。3. 電路設(shè)計(jì)及仿真結(jié)果分析 2FSK信號(hào)調(diào)制系統(tǒng)的設(shè)計(jì)根據(jù)鍵控法調(diào)制的原理,需要一個(gè)時(shí)鐘信號(hào)和兩個(gè)載波和,以及一個(gè)數(shù)據(jù)選擇器這是調(diào)制的關(guān)鍵,其主要作用是在“1”時(shí)選通一個(gè)載波,在“0”時(shí)讓另一路載波通過。對(duì)于數(shù)字基帶信號(hào)也就是調(diào)制信號(hào),我們可以用偽隨機(jī)序列發(fā)生器來(lái)產(chǎn)生。2FSK的原理框圖如下圖3。其中,偽隨機(jī)序列發(fā)生器可以看作是一個(gè)基帶信號(hào)源,在實(shí)際應(yīng)用中,可以由具體信號(hào)源來(lái)替代。時(shí)鐘信號(hào)經(jīng)過分頻器產(chǎn)生2950Hz、1475Hz和Hz三個(gè)頻率信號(hào),Hz信號(hào)用來(lái)產(chǎn)生KH的偽隨機(jī)偽隨機(jī)序列信號(hào)。2選1數(shù)據(jù)選擇器由偽隨機(jī)序列信號(hào)控制在2950Hz和1475Hz兩個(gè)信號(hào)中選擇
22、一個(gè)輸出。 2950Hz 11.8KHz 1475Hz圖3.1 2FSK信號(hào)調(diào)制系統(tǒng)框圖 分頻器分頻器是數(shù)字電路中最常用的電路之一,在FPGA的設(shè)計(jì)中也是使用效率非常高的根本設(shè)計(jì)?;贔PGA實(shí)現(xiàn)的分頻電路一般有兩種方法:一是使用FPGA 芯片內(nèi)部提供的鎖相環(huán)電路,如 ALTERA 提供的PLLPhase Locke Loop,Xilin提供的DLLDelay Locked Loop;二是使用硬件描述語(yǔ)言,如VHDL、Verilog HDL 等。使用鎖相環(huán)電路有許多優(yōu)點(diǎn),如可以實(shí)現(xiàn)倍頻;相位偏移;占空比可調(diào)等。但FPGA 提供的鎖相環(huán)個(gè)數(shù)極為有限,不能滿足使用要求。因此使用硬件描述語(yǔ)言實(shí)現(xiàn)分頻
23、電路經(jīng)常使用在數(shù)字電路設(shè)計(jì)中,消耗不多的邏輯單元就可以實(shí)現(xiàn)對(duì)時(shí)鐘的操作,具有本錢低、可編程等優(yōu)點(diǎn)。此次實(shí)驗(yàn)中,我們小組就采用VHDL來(lái)實(shí)現(xiàn)分頻器的功能。實(shí)現(xiàn)起來(lái)非常方便。輸入分頻器的程序,編譯無(wú)誤后,進(jìn)行波形仿真,結(jié)果如下圖。將該模塊封裝,如下圖。為了方便使用,此次實(shí)驗(yàn)所做的分頻器可以將CLK時(shí)鐘信號(hào)分別進(jìn)行2分頻,4分頻,8分頻,16分頻和32分頻,其中我們選用4分頻信號(hào)作為載波,選用8分頻信號(hào)作為載波,32分頻用作偽隨機(jī)序列發(fā)生器的時(shí)鐘信號(hào)。圖3.2 分頻器仿真結(jié)果圖從圖中我們可以看到由CLK信號(hào)分別分出了5種不同的頻率,分別CLK信號(hào)的1/2(div2)、1/4(div4)、1/8(di
24、v8)、1/16(div16)和1/32(div32),例如在div2中,每一個(gè)電平對(duì)應(yīng)1個(gè)CLK時(shí)鐘周期,所以一個(gè)div2周期包含2個(gè)CLK時(shí)鐘周期,同理,div4的電平包含了4個(gè)CLK時(shí)鐘周期等等。圖3.3 分頻器的封裝 偽隨機(jī)序列發(fā)生器偽隨機(jī)碼是數(shù)字通信中重要信碼之一,常作為數(shù)字通信中的基帶信號(hào)源,應(yīng)用于干擾碼、誤碼測(cè)試、擴(kuò)頻通信、保密通信等領(lǐng)域。偽隨機(jī)序列又叫M序列,可由線性移位存放器網(wǎng)絡(luò)產(chǎn)生。該網(wǎng)絡(luò)由n級(jí)串聯(lián)的雙態(tài)器件,移位脈沖產(chǎn)生器和模2加法器組成。偽隨機(jī)序列的主要特點(diǎn):1、線性移位存放器的輸出序列是一個(gè)周期序列。2、當(dāng)初始狀態(tài)是0狀態(tài)時(shí),線性移位存放器的輸出全0序列。3、級(jí)數(shù)相同
25、的線性移位存放器的輸出序列和反應(yīng)邏輯有關(guān)。4、同一個(gè)線性移位存放器的輸出序列還和起始狀態(tài)有關(guān)。5、對(duì)于級(jí)數(shù)為r的線性移位存放器,當(dāng)周期p時(shí),改變移位存放器初始狀態(tài)只改變序列的初相。這樣的序列稱為最大長(zhǎng)度序列或m序列,簡(jiǎn)稱nrz。本設(shè)計(jì)中的M序列發(fā)生器是一種通過帶有兩個(gè)反應(yīng)抽頭的4級(jí)反應(yīng)移位存放器產(chǎn)生的一串“循環(huán)序列。實(shí)現(xiàn)電路見圖3.4圖3.4 M序列發(fā)生器電路圖圖3.5 M隨機(jī)序列的封裝圖3.6 M隨機(jī)序列的波形圖 數(shù)據(jù)選擇器在數(shù)字信號(hào)的傳輸過程中,有時(shí)需要從一組輸入數(shù)據(jù)中選出某一個(gè)來(lái),這是就要用到一種叫做數(shù)據(jù)選擇器Data Selector,或稱多路開關(guān) Maltiplexer的
26、邏輯電路。這一過程恰與數(shù)據(jù)分配器相反,在英文中數(shù)據(jù)分配器Demultiplexer是用來(lái)對(duì)K路的數(shù)據(jù)選擇與分配的操作。實(shí)現(xiàn)數(shù)據(jù)選擇功能的邏輯電路稱為數(shù)據(jù)選擇器。數(shù)據(jù)選擇是指經(jīng)過選擇,把多個(gè)通道的數(shù)據(jù)傳送到唯一的公共數(shù)據(jù)通道上去,它的作用相當(dāng)于多個(gè)輸入的單刀多擲開關(guān)。數(shù)據(jù)選擇器用來(lái)選擇兩個(gè)載波,一個(gè)是經(jīng)過CLK 4分頻得到的載波,代表數(shù)字基帶信號(hào)“1”,另一個(gè)是經(jīng)CLK 8分頻得到的載波,代表數(shù)字基帶信號(hào)“0”。圖3.7 數(shù)據(jù)選擇器仿真結(jié)果從圖中可以看出,當(dāng)M序列為高電平時(shí),輸出的是載波,低電平時(shí),輸出載波,與要求一致,該模塊仿真正確。圖3.8 2選1數(shù)據(jù)選擇器的封裝 2FSK調(diào)制系統(tǒng)的仿真及結(jié)
27、果分析根據(jù)圖3.1,將分頻器、M序列發(fā)生器、2選一數(shù)據(jù)選擇器一一連接起來(lái),就得到如圖3.9所示的2FSK調(diào)制系統(tǒng)的整體電路。對(duì)該電路進(jìn)行編譯,正確之后進(jìn)行波形仿真,就得到如圖3.10所示的波形圖。圖中clk是時(shí)鐘信號(hào),nrz4是數(shù)字基帶信號(hào),nrz4_code是被nrz4調(diào)制后的信號(hào),設(shè)定假設(shè)數(shù)字基帶信號(hào)是高電平時(shí)讓f1輸出,假設(shè)為低電平那么輸出f2,觀察可得在nrz4高電平的時(shí)候,輸出的波形與f1相同,在nrz4低電平的時(shí)候,輸出地波形與f2波形相同。所以輸出波形表現(xiàn)為時(shí)密時(shí)疏,這就是2FSK調(diào)制系統(tǒng)完成的波形,仿真成功。圖3.9 2FSK調(diào)制系統(tǒng)電路圖3.10 2FSK調(diào)制系統(tǒng)的波形仿真3
28、.2 2FSK信號(hào)解調(diào)系統(tǒng)的設(shè)計(jì)由過零點(diǎn)檢測(cè)原理可以知道,FSK信號(hào)的過零點(diǎn)次數(shù)反映了原始信號(hào)的規(guī)律,所以只要某種方法能夠分析出FSK信號(hào)的過零點(diǎn)次數(shù),然后根據(jù)調(diào)制時(shí)原始信號(hào)和調(diào)制頻率的關(guān)系即當(dāng)調(diào)制信號(hào)為“1時(shí),選通載波,當(dāng)調(diào)制信號(hào)為“0時(shí),選通載波,就可以解調(diào)出原始信號(hào)。通過對(duì)調(diào)制信號(hào)、時(shí)鐘信號(hào)、兩個(gè)載波和以及2FSK調(diào)制信號(hào)的觀察,我們發(fā)現(xiàn)對(duì)調(diào)制信號(hào)的任何一個(gè)碼元,如果可以知道該載波的頻率,就可以判斷調(diào)制信號(hào)是“1還是“0。因此信號(hào)的解調(diào)框圖如下圖。因?yàn)镕PGA只能產(chǎn)生數(shù)字信號(hào),所以假設(shè)圖3.11中的調(diào)制信號(hào)FSK已經(jīng)是經(jīng)過數(shù)模轉(zhuǎn)換的數(shù)字FSK信號(hào)。時(shí)鐘信號(hào)控制信號(hào)Fsk調(diào)制信號(hào)時(shí)鐘計(jì)數(shù)器
29、C計(jì)數(shù)器Q判決器D基帶信號(hào)圖3.11 2FSK信號(hào)解調(diào)原理框圖3.2.1 時(shí)鐘計(jì)數(shù)器C由于要計(jì)算調(diào)制信號(hào)一個(gè)周期內(nèi)的過零點(diǎn)次數(shù),所以用時(shí)鐘計(jì)數(shù)器來(lái)計(jì)算調(diào)制信號(hào)的周期,如果時(shí)鐘頻率與FSK調(diào)制信號(hào)頻率:=1:11那么表示每11個(gè)CLK時(shí)鐘周期發(fā)送一個(gè)FSK調(diào)制信號(hào),即當(dāng)從0遞增到10時(shí),一個(gè)調(diào)制信號(hào)傳輸結(jié)束。在本次試驗(yàn)中,每32個(gè)CLK時(shí)鐘周期就發(fā)送一個(gè)FSK調(diào)制信號(hào),所以在本程序里當(dāng)q從0遞增到31時(shí),就是一個(gè)調(diào)制信號(hào)。 計(jì)數(shù)器Q由FSK調(diào)制原理可知FSK信號(hào)是由2種不同頻率的載波來(lái)傳遞數(shù)字信號(hào)的,由于載波頻率不同,所以在一個(gè)調(diào)制信號(hào)周期中包含的載波周期個(gè)數(shù)不同,又根據(jù)過零檢測(cè)法原理,通過檢測(cè)
30、一個(gè)信號(hào)傳輸周期內(nèi)的過零點(diǎn)次數(shù)來(lái)判斷基帶信號(hào)。由于上升沿的個(gè)數(shù)就相當(dāng)于FSK信號(hào)過零點(diǎn)的個(gè)數(shù),那么計(jì)數(shù)器的主要功能就是在調(diào)制信號(hào)的一個(gè)周期內(nèi),對(duì)信號(hào)X的上升沿進(jìn)行計(jì)數(shù)。例如在此次試驗(yàn)中,當(dāng)調(diào)制信號(hào)為“1時(shí),通過載波,為“0時(shí),通過載波,故在“1時(shí)有載波的周期8個(gè),“0時(shí)有載波的周期4個(gè)。 判決器D判決器的作用主要是在調(diào)制信號(hào)的一個(gè)周期內(nèi),對(duì)計(jì)數(shù)器m的值進(jìn)行判決。判決門限值根據(jù)調(diào)制信號(hào)和基帶信號(hào)的頻率的比值決定。如CLK時(shí)鐘信號(hào)經(jīng)4分頻得到載波,而:=8:1,CLK經(jīng)8分頻有,:=4:1,即表示在一個(gè)FSK調(diào)制信號(hào)碼元中有8個(gè)周期的載波或者有載波 4個(gè)周期,那么判決門限值x可以選擇x=8也可以選
31、擇x=4。這樣通過判決器就可以恢復(fù)出原始的基帶信號(hào)。判決器的門限也可以選6或者7,好處是使得解調(diào)器有一定的容錯(cuò)能力,能更好的解調(diào)出基帶信號(hào)。3.2.4 2FSK解調(diào)系統(tǒng)的仿真及結(jié)果分析解調(diào)系統(tǒng)是用VHDL語(yǔ)言直接實(shí)現(xiàn)的,所以不存在電路的連接問題,將程序輸入后,進(jìn)行編譯,無(wú)誤后建立波形文件,參加管腳,進(jìn)行波形仿真。2 2FSK解調(diào)系統(tǒng)電路3 2FSK解調(diào)系統(tǒng)波形仿真圖仿真結(jié)果如圖3.13所示。從圖中可以看出輸入調(diào)制信號(hào)in和輸出解調(diào)信號(hào)out之間存在著一個(gè)周期的時(shí)延。3.3 調(diào)制解調(diào)系統(tǒng)整體仿真及結(jié)果分析將調(diào)制與解調(diào)兩個(gè)模塊連接起來(lái)就構(gòu)成了2FSK信號(hào)調(diào)制解調(diào)系統(tǒng)6,進(jìn)行仿真得到如圖的結(jié)果。圖3
32、.14 2FSK信號(hào)調(diào)制解調(diào)信號(hào)波形仿真圖中數(shù)字基帶信號(hào)為“nrz4調(diào)制信號(hào)輸出為“tz解調(diào)信號(hào)輸出為“jiet觀察波形圖可知解調(diào)信號(hào)與數(shù)字基帶信號(hào)相同,且延遲數(shù)字基帶信號(hào)32個(gè)CLK時(shí)鐘周期,即一個(gè)偽隨機(jī)序列周期。整個(gè)系統(tǒng)的仿真結(jié)果與預(yù)計(jì)的波形無(wú)較大出入,可以斷定這個(gè)系統(tǒng)的工作是正常的,接下來(lái)可以將程序燒到EDA實(shí)驗(yàn)板上,觀察波形,是否與仿真結(jié)果一致。5 2FSK調(diào)制解調(diào)波形圖放大后這是調(diào)制解調(diào)系統(tǒng)的仿真圖放大后的結(jié)果,1是計(jì)數(shù)器Q,2是時(shí)鐘計(jì)數(shù)器C,3是解調(diào)信號(hào)的波形,從圖中可以看到,在4的時(shí)候,解調(diào)信號(hào)由低電平變?yōu)楦唠娖?,這是因?yàn)樵诰帉懡庹{(diào)程序時(shí),設(shè)置當(dāng)計(jì)數(shù)器Q大于等于6時(shí),輸出為高電平
33、,當(dāng)小于6時(shí),輸出為低電平。因此,當(dāng)計(jì)數(shù)器Q發(fā)現(xiàn)已滿足高電平條件, 就設(shè)置為高電平了,在5時(shí),發(fā)現(xiàn)計(jì)數(shù)器Q滿足低電平條件,所以將其置為低電平。6 2FSK調(diào)制解調(diào)系統(tǒng)整體電路3.4 2FSK調(diào)制解調(diào)系統(tǒng)在示波器上的顯示圖3.16 示波器顯示的調(diào)制信號(hào)的結(jié)果從圖中可以明顯的分辨出有兩種不同頻率的載波??梢娛静ㄆ鞯牟ㄐ闻c圖相似,說明程序正確,在EDA實(shí)驗(yàn)板上燒制成功。圖3.17 示波器顯示的調(diào)制信號(hào)放大的結(jié)果從以上兩幅圖中可以看出輸出的波形都有毛刺,這是由于信號(hào)在FPGA器件中通過邏輯單元連線時(shí),一定存在延時(shí)。延時(shí)的大小不僅和連線的長(zhǎng)短和邏輯單元的數(shù)目有關(guān),而且也和器件的制造工藝、工作環(huán)境等有關(guān)。
34、因此,信號(hào)在器件中傳輸?shù)臅r(shí)候,所需要的時(shí)間是不能精確估計(jì)的,當(dāng)多路信號(hào)同時(shí)發(fā)生跳變的瞬間,就產(chǎn)生了“競(jìng)爭(zhēng)冒險(xiǎn)。這時(shí),往往會(huì)出現(xiàn)一些不正確的尖峰信 號(hào),這些尖峰信號(hào)就是“毛刺。另外,由于FPGA以及其它的CPLD器件內(nèi)部的分布電容和電感對(duì)電路中的毛刺根本沒有什么過濾作用,因此這些毛刺信號(hào)就 會(huì)被“保存并傳遞到后一級(jí),從而使得毛刺問題更加突出。為了消除這些毛刺,假設(shè)使用邏輯分析儀器,一般來(lái)說,使用狀態(tài)采集的方法,有些在定時(shí)方式下采集到的毛刺,就看不到了。開始時(shí)刻圖3.18 數(shù)字基帶信號(hào)和解調(diào)信號(hào)的比照從圖中可以看出解調(diào)信號(hào)相對(duì)數(shù)字基帶信號(hào)延遲了一段時(shí)間。從圖中的開始時(shí)刻起,兩個(gè)波形的形狀相同。3.
35、5 本章小結(jié)這一章主要對(duì)2FSK系統(tǒng)的調(diào)制解調(diào)系統(tǒng)的設(shè)計(jì)與仿真進(jìn)行了介紹,分別對(duì)調(diào)制系統(tǒng)和解調(diào)系統(tǒng)的輸出波形進(jìn)行了比照,結(jié)果與預(yù)料的一致,但是有時(shí)延。盡管實(shí)驗(yàn)成功了,但是還有一些缺乏之處,比方要得到真正的2FSK信號(hào)波形還要設(shè)計(jì)正弦波發(fā)生器,再次要外加數(shù)模轉(zhuǎn)換電路,然后才能連接示波器,這樣就可以得到載波是正弦波的2FSK信號(hào)。結(jié)論本次課程設(shè)計(jì),我完成了基于VHDL語(yǔ)言的2FSK調(diào)制解調(diào)系統(tǒng)的設(shè)計(jì),雖然按要求完成了根本的功能要求,但是還是沒有預(yù)期的那么完美。在學(xué)習(xí)和設(shè)計(jì)的過程中,我也遇到了不少的問題,第一:從開始對(duì)調(diào)制與解調(diào)系統(tǒng)的設(shè)計(jì)開始,始終無(wú)法確定要用哪種方式去實(shí)現(xiàn)解調(diào)系統(tǒng)的設(shè)計(jì),最后在瀏覽
36、的大局部網(wǎng)頁(yè)上發(fā)現(xiàn)過零檢測(cè)法是VHDL里面最常用的也是最容易實(shí)現(xiàn)的解調(diào)方式,因此我們組才決定采用過零檢測(cè)法實(shí)現(xiàn)解調(diào)功能。第二:在編寫解調(diào)系統(tǒng)程序的時(shí)候,發(fā)現(xiàn)我們程序編譯沒有錯(cuò)誤,但是結(jié)果就是與預(yù)期的不同,只能一條一條去檢查,到底程序哪里有問題,最后發(fā)現(xiàn)是由于if語(yǔ)句的邏輯錯(cuò)誤使得程序雖然通過編譯但卻無(wú)法正常工作。第三:2FSK信號(hào)的波形是正弦波,但是只使用EDA實(shí)驗(yàn)板得到的結(jié)果是矩形脈沖,所以為了要實(shí)現(xiàn)正弦波要做一個(gè)正弦波發(fā)生器VHDL實(shí)現(xiàn),然后外接數(shù)模轉(zhuǎn)換電路,這樣就可以得到2FSK信號(hào)的正弦波。課程設(shè)計(jì)不僅是對(duì)前面所學(xué)知識(shí)的一種檢驗(yàn),而且也是對(duì)自己能力的一種提高。下面我對(duì)整個(gè)課程設(shè)計(jì)的過程
37、做一下簡(jiǎn)單的總結(jié)。第一,查資料是做課程設(shè)計(jì)的前期準(zhǔn)備工作,好的開端就相當(dāng)于成功了一半,到圖書館或上網(wǎng)找相關(guān)資料雖說是比擬原始的方式,但也有可取之處的。不管通過哪種方式查的資料都是有利用價(jià)值的,要一一記錄下來(lái)以備后用。第二,通過上面的過程,已經(jīng)積累了不少資料,對(duì)所給的課題也大概有了一些了解,這一步就是在這樣一個(gè)根底上,綜合已有的資料來(lái)更透徹的分析題目。第三,有了研究方向,就應(yīng)該動(dòng)手實(shí)現(xiàn)了。其實(shí)以前的三步都是為這一步作的鋪墊。由于我們這次課設(shè)的主要目標(biāo)是對(duì)EDA的熟練掌握,所以我們沒有再外接數(shù)模轉(zhuǎn)換電路。致謝在這兩周多的時(shí)間里,我們組能完成老師布置的任務(wù),也不是靠一個(gè)人可以做成功的,如果沒有導(dǎo)師的
38、催促指導(dǎo),以及一起研究的同學(xué)們的支持,想要完成這個(gè)設(shè)計(jì)是難以想象的。因此,感謝在這課程設(shè)計(jì)中間給過我們組指導(dǎo)的老師和同學(xué)們,沒有你們的幫助,我們的完成情況可能是另一番樣子。衷心的謝謝你們。參考文獻(xiàn)1 樊昌信,曹麗娜. 通信原理第六版T. 北京:國(guó)防工業(yè)出版社,1701852 張新偉,鄭建宏. 一種2 FS解調(diào)器的VHDL實(shí)現(xiàn). 2007年11期40卷,78803 侯伯民,尹亞軍,張春晶. 基于CPLD的FSK信號(hào)發(fā)生器的設(shè)計(jì). 2004年2期3卷,14附錄2FSK調(diào)制解調(diào)系統(tǒng)源程序分頻器源程序library ieee;use ieee.std_logic_1164.all;use ieee.s
39、td_logic_unsigned.all;entity div248 isport(clk:in std_logic;div2:out std_logic; div4:out std_logic; div8:out std_logic; div16:out std_logic; div32:out std_logic);end div248;architecture one of div248 is signal cnt:std_logic_vector(4 downto 0);beginprocess(clk)beginif clk'event and clk='1'then cnt<=cnt+1;end if;end process;div2<=cnt(0);div4<=cnt(1);div8<=cnt(2);div16<=cnt(3);div32<=cnt(4);end;二選一數(shù)據(jù)選擇器library ieee;use ieee.std_logic_1164.all;entity mux21 isport (f1,f2 : in std_logic; nrz : in std_logic; y : out std_logic );end entity mux21;arc
溫馨提示
- 1. 本站所有資源如無(wú)特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 國(guó)際工程合同與索賠 心得
- 合伙分股合同模板
- 眼內(nèi)炎治療新進(jìn)展
- 2024合同協(xié)議書法司法解釋中英文對(duì)照
- 2024薪酬制物業(yè)管理合同
- 2024工程裝修施工合同范文
- 歐陸風(fēng)云3(EU3)常用秘籍與國(guó)家代碼
- 2024勞動(dòng)合同的注意事項(xiàng)
- 沈陽(yáng)城市學(xué)院《影視導(dǎo)演》2023-2024學(xué)年第一學(xué)期期末試卷
- 沈陽(yáng)城市學(xué)院《訴訟可視化》2023-2024學(xué)年第一學(xué)期期末試卷
- 消防安全培訓(xùn)內(nèi)容
- 2024-2030年鋁型材行業(yè)市場(chǎng)深度調(diào)研及前景趨勢(shì)與投資戰(zhàn)略研究報(bào)告
- 2024-2030年辣椒種植行業(yè)市場(chǎng)深度分析及發(fā)展策略研究報(bào)告
- 變電站綠化維護(hù)施工方案
- 校園展美 課件 2024-2025學(xué)年人美版(2024)初中美術(shù)七年級(jí)上冊(cè)
- 2024版《糖尿病健康宣教》課件
- ktv保安管理制度及崗位職責(zé)(共5篇)
- 腦出血試題完整版本
- (正式版)QBT 2174-2024 不銹鋼廚具
- 監(jiān)控維修施工方案
- 混凝土早強(qiáng)劑檢驗(yàn)報(bào)告(出廠)
評(píng)論
0/150
提交評(píng)論