高頻電路的集成化與系統(tǒng)設計_第1頁
高頻電路的集成化與系統(tǒng)設計_第2頁
高頻電路的集成化與系統(tǒng)設計_第3頁
高頻電路的集成化與系統(tǒng)設計_第4頁
高頻電路的集成化與系統(tǒng)設計_第5頁
已閱讀5頁,還剩112頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

1、第9章 高頻電路的集成比與EDA第第9章高頻電路的集成化與系統(tǒng)設章高頻電路的集成化與系統(tǒng)設計計9.1 高頻電路的集成化高頻電路的集成化 9.2 高頻集成電路高頻集成電路 9.3 高頻電路高頻電路EDA9.4 高頻電路系統(tǒng)設計高頻電路系統(tǒng)設計第9章 高頻電路的集成比與EDA9.1 高頻電路的集成化高頻電路的集成化9.1.1 高頻集成電路的類型高頻集成電路的類型集成電路是為了完成某種電子電路功能,以特定的工藝在單獨的基片上或基片內形成并互連有關元器件,從而構成的微型電子電路。集成電路是微電子技術的一個方面,也是它的一個發(fā)展階段,并也在按照自己的規(guī)律發(fā)展著。高頻集成電路就是集成電路技術高度發(fā)展的產物

2、。近年來,隨著高頻固態(tài)器件技術和微電子技術的發(fā)展,各種高頻集成電路層出不窮。但不論如何,這些高頻集成電路都可以歸納為以下幾種類型: 第9章 高頻電路的集成比與EDA(1) 按照頻率來劃分,有高頻集成電路、甚高頻集成電路和微波集成電路(MIC)等幾種。當然,根據頻段的詳細劃分,高頻集成電路也可以分得更細致。對于微波集成電路,又可以分為集中參數集成電路和分布參數集成電路兩種。第9章 高頻電路的集成比與EDA(2) 與普通集成電路一樣,高頻集成電路可分為單片高頻集成電路(MHIC)和混合高頻集成電路(HHIC)?;旌细哳l集成電路是將多種不同類型的集成電路(如單片電路、普通集成電路甚至分立元件等)混合

3、而成的高頻集成電路,其集成技術簡單,制作容易,因此,初期的高頻集成電路多為HHIC。單片高頻集成電路則是將所有的有源器件(如晶體三極管或場效應管等)和無源元件(如電阻、電容和電感等)都沉積或生長在同一塊半導體基片上或基片內。單片高頻集成電路在初期主要是單元高頻集成電路(如高頻單片集成放大器、高頻單片集成混頻器、高頻單片集成振蕩器等)。隨著技術的進步,MHIC的發(fā)展十分迅速,逐漸形成了各種不同功能的高頻單片集成電路、單片集成前端甚至單片集成系統(tǒng)(包含高頻前端)。第9章 高頻電路的集成比與EDA(3) 從功能或用途上來分,高頻集成電路有高頻通用集成電路和高頻專用集成電路(HFASIC)兩種。高頻通

4、用集成電路主要有高頻集成放大器(包括寬帶放大器、功率放大器、低噪聲放大器(LNA)、對數放大器和可控增益放大器等)、高頻集成混頻器(Mixer)、高頻集成乘法器、高頻集成振蕩器、高頻開關電路、分頻與倍頻器和鎖相環(huán)與頻率合成器等,以及上述集成電路的相互組合。高頻專用集成電路是用于專門用途的高頻集成電路或系統(tǒng),如正交調制解調器、單片調幅(AM)/調頻(FM)接收機等。實際上,通用與專用并不一定有嚴格的界限。應當指出,有些電路,如高頻變壓器、高頻濾波器、平衡/雙平衡混頻器等,嚴格來講不是高頻集成電路(而是高頻組件),但不論從內部功能上還是從外部封裝上來看,它們都與高頻集成電路有相同的特點,因此,也可

5、以把它們歸入高頻集成電路之列。第9章 高頻電路的集成比與EDA9.1.2 高頻電路的集成化技術高頻電路的集成化技術1 傳統(tǒng)硅傳統(tǒng)硅(Si)技術技術1958年美國得克薩斯儀器公司(TI)和仙童公司研制成功第一批集成電路,接著在1959年發(fā)明了制造硅平面晶體管的“平面工藝”,利用半導體平面工藝在硅片內制作元器件,并按電路要求在硅片表面制作互連導體,從而制成高密度平面化的集成電路,完善了集成電路的生產工藝。此后,人們利用此種硅平面技術先后制造出了大規(guī)模集成電路(LSIC)和超大規(guī)模集成電路(VLSIC)。但此時集成的固態(tài)器件基本上都是雙極型晶體管(BJT)。20 世紀70年代后半期,場效應管(FET

6、)技術開始流行,在集成電路的集成工藝中,由場效應管工藝取代了雙極型晶體管工藝,打破了雙極型工藝一統(tǒng)天下的局面。場效應管是一種利用多子導電的單極型晶體管,第9章 高頻電路的集成比與EDA由于多子濃度受溫度、光照和核輻射等外界因素的影響較小,因此,其溫度特性比雙極型晶體管要好。也就是說,在環(huán)境條件變化較大的場合,用場效應管比較好。此外,金屬氧化物場效應管(MOSFET)的輸入阻抗高,工藝簡單,功耗小,封裝密度也較高,比較適合用于大規(guī)模、超大規(guī)模集成電路中。與雙極型晶體管相比,跨導小、電路增益低是場效應管的主要缺陷。但不論采用雙極型工藝,還是場效應管工藝,都是在硅平面上制作晶體管的“硅技術”。第9章

7、 高頻電路的集成比與EDA利用傳統(tǒng)硅技術制作的高頻集成電路,具有制作工藝簡單、功耗小、成本低等特點,但其工作頻率受限,一般認為在12 GHz以上時不能適用。這主要是因為雙極型晶體管在導電襯底上制作時, 襯底與集電極之間的電容太大,這是MOSFET增益太低的緣故。可以這樣說,在2 GHz水平,硅占絕對優(yōu)勢。另外,新技術的開發(fā)與運用也會賦予傳統(tǒng)的硅技術以新的生命力。例如,一種雙多晶硅工藝的新雙極技術,可以使器件的高頻特性達到幾十吉赫茲。HP和Norel半導體公司聯(lián)合的硅技術雙波段功率放大器模塊,其特征頻率f為25 GHz。若采用渠式隔離工藝,將寄生電容減小,會把特征頻率fT提高到35GHz。第9章

8、 高頻電路的集成比與EDA2 砷化鉀砷化鉀(GaAs)技術技術以砷化鉀材料替代硅材料形成的砷化鉀技術主要用在微波電路中。砷化鉀集成電路自1974年由HP公司首創(chuàng)以來,一直用在微波系統(tǒng)中。作為無線通信用高頻模擬集成電路的選擇, 砷化鉀器件也只是近幾年的事情。砷化鉀器件的結構主要有五種: 平面型肖特基勢壘柵場效應管(簡稱平面型MESFET(金屬半導體場效應管); 自對準型MESFET; 結型柵場效應管(JFET); 金屬氧化物場效應管(MOSFET); 高電子遷移率晶體管(HEMT)。在砷化鉀器件中,砷化鉀MESFET,由于其頻響、噪聲、增益、功率應用等性能優(yōu)于其它工藝,因而在前端(低噪聲放大器(

9、LNA)、寬帶放大、功率放大器、上/下變頻器和微波開關等)或單片微波集成電路(MMIC)等微波固態(tài)器件中占有相當優(yōu)勢,成為高頻和微波集成電路中十分重要的一種器件。 第9章 高頻電路的集成比與EDA砷化鉀MESFET的結構如圖9-1所示,它是在一塊半絕緣的砷化鉀襯底上用外延法生長一層N型砷化鉀層,在其兩端分別引出源極和漏極,在兩者之間引出柵極。對于砷化鉀MESFET,柵長是一個決定最大工作頻率(fmax)的關鍵參數。一般情況下,最大工作頻率隨柵長的縮短而提高。標準砷化鉀MESFET的柵長為0.5 m,其對應的fmax為 18GHz; 高性能的砷化鉀MESFET的柵長為0.25m,相應的fmax達

10、25GHz。第9章 高頻電路的集成比與EDA圖 9-1 砷化鉀MESFET的結構第9章 高頻電路的集成比與EDA首次出現于1980年的高電子遷移率晶體管(HEMT)可以最大限度地利用砷化鉀的高電子遷移率的特性。耗盡型的HEMT場效應管是在半絕緣的GaAs襯底上連續(xù)生長不摻雜或輕摻雜的GaAs、摻硅的n型AlxGa1xAs層和摻硅的n型GaAs層,在AlxGa1xAs層內形成耗盡層。再利用AlGaAs和GaAs電子親和力之差,在未摻雜的GaAs的表面之下形成二次電子氣層,如圖9-2所示。HEMT管的特點是特征頻率更高、電流增益更大、噪聲更小。假晶高電子遷移率晶體管(PHEMT)是一種與HEMT類

11、似的異質結器件,其工作頻率較高,且電源電壓低(小于3V)。提高MESFET的性能/價格比的措施之一就是采用假晶高電子遷移率晶體管工藝。GaAs MESFET和GaAs PHEMT器件一般需要雙電源(正負電源)工作,通常需要先加負電源。這是使用砷化鉀器件的一個缺點。第9章 高頻電路的集成比與EDA圖9-2 耗盡型的HEMT場效應管結構 第9章 高頻電路的集成比與EDA另一種GaAs異質結器件GaAs HBT也越來越受關注,它屬于改進型的雙極晶體管,其發(fā)射極和基極被制作在不同材料的禁帶中,如圖9-3所示。其工作原理與普通硅結型NPN晶體管類似,但由于EB結為一阻擋空穴注入而不阻擋電子的勢壘結構,減

12、少了傳輸時間和少子在發(fā)射極的存儲,因此,其高頻性能好,頻率響應可達3 GHz甚至更高,跨導也很高。GaAs HBT由于采用雙極工藝,可單電源工作。此外,GaAs HBT采用縱向結構(MESFET為單層水平結構),作為功率放大器比MESFET更有效??傊?,用GaAs單晶材料制作的集成電路具有高頻(可達30 GHz以上)、高速、低噪聲、微功耗、寬溫區(qū)、抗輻射等很多特性,但由于其成本高、工藝復雜,在頻率不是非常高時其使用受到限制。 第9章 高頻電路的集成比與EDA圖 9-3 GaAs HBT結構第9章 高頻電路的集成比與EDA3 硅鍺硅鍺(SiGe)技術技術鑒于集成電路在下一代無線通信設備中的重要地

13、位,芯片和無線通信設備廠商都十分迫切地希望集成電路,特別是射頻(RF)集成電路在性能提高的同時,能大幅度地降低成本。但由于硅技術和GaAs技術的特點,它們都很難實現。被稱為20世紀90年代新型光電子、微電子和 “第二代硅”材料的硅鍺(SiGe)技術,以其特有的魅力受到半導體業(yè)界的高度重視,在20世紀90年代末異軍突起,在1 GHz以上的射頻范圍應用越來越廣泛。第9章 高頻電路的集成比與EDA硅鍺技術結合了硅和鍺的優(yōu)點,綜合了硅技術和GaAs技術的特點。它是利用與GaAs材料特性相似的新的半導體材料,以傳統(tǒng)的硅(晶體管和IC)工藝制成SiGe異質結雙極晶體管。異質結雙極晶體管的優(yōu)點就是可以重新構

14、造普通硅半導體材料的能帶間隙,從而改善硅晶體管的性能。在異質結雙極晶體管的基極摻入適量鍺(濃度按一定梯度變化),就可以獲得新的半導體性質,改變能帶間隙,提高晶體管的性能。由于鍺原子比硅原子大4%,采用超高真空化學氣相沉積法,可以將硅原子和鍺原子很好地結合在一起,協(xié)調工作。硅鍺技術的主要優(yōu)點是工藝簡單、低功耗、低成本、一致性好,頻率特性介于傳統(tǒng)硅器件和砷化鉀器件之間。一種典型的SiGe HBT的電特性參數示于表9-1中。第9章 高頻電路的集成比與EDA第9章 高頻電路的集成比與EDA9.1.3 高頻集成電路的發(fā)展趨勢高頻集成電路的發(fā)展趨勢20世紀60年代出現的集成電路是電子技術發(fā)展史上的里程碑。

15、從IC誕生之日到現在,在大約40年的時間里, 經歷了電路集成(CI)、功能集成(FI)、技術集成(TI)和知識集成(KI)四個階段。每個階段都有其本身的標志和特征?,F在正處在技術集成(TI)和知識集成(KI)時期,但并不是現在所有的IC都具有這一時期的特征。也就是說,目前的IC是各個階段、各種類型并存。下面僅就高頻IC的發(fā)展趨勢做一簡單描述。第9章 高頻電路的集成比與EDA1. 高集成度高集成度(更細工藝更細工藝)集成電路發(fā)展的核心是集成度的提高。從電路集成開始,IC的發(fā)展基本上是按照摩爾(Moore)定律(每三年芯片集成度增加四倍,特征尺寸減小30%)進行的,芯片的集成度由十幾萬個晶體管到幾

16、十萬、幾百萬個甚至達到上千萬個晶體管; 封裝的引線腳多達幾百個, 集成在一塊芯片上的功能也越來越多,甚至于集成電路的設計與制造模式也發(fā)生了很大的變化,出現了設計、制造、封裝、測試等相對獨立的“行業(yè)”,各“行”各司其職,各自發(fā)展,相得益彰。如今,包括高頻IC在內的集成電路的發(fā)展仍然服從摩爾定律,而且,在相當長的一段時間(10年)內,這種發(fā)展態(tài)勢不會改變。集成度的提高依賴于工藝技術的提高和新的制造方法。21世紀的IC將沖破來自工藝技術和物理因素等方面的限制繼續(xù)高速發(fā)展,可以概括為: 第9章 高頻電路的集成比與EDA1) (超)微細加工工藝超微細加工的關鍵是形成圖形的曝光方式和光刻方法。當前主流技術

17、仍然是光學曝光,光刻方法已從接觸式、接近式、反射投影式、步進投影式發(fā)展到步進掃描投影式。采用減少光源波長(由436 nm 和365 nm 的汞弧燈縮短到248 nm的KrF準分子激光源再到193nm的ArF準分子激光源)的方法可以將微細加工工藝從1m、0.8m 發(fā)展到0.5m、0.35m、0.25m,再提高到0.18 m、0.15 m甚至0.13 m的水平。采用 157nm的F2準分子激光光源進一步結合離軸照明以及移相掩膜(PSM)等技術,將使光學的曝光方法擴展到0.1m分辨率。對于小于0.1m的光刻將采用新的方法,如極紫外線(EUV)光學曝光法、X射線曝光法、 電子投影曝光(EPL)法、離子

18、投影曝光(IPL)法、電子束直寫光刻(EBDW)等。第9章 高頻電路的集成比與EDA2) 銅互連技術長期以來,芯片互連金屬化層采用鋁。器件與互連線的尺寸和間距不斷縮小,互連線的電阻和電容急劇增加,對于0.18 m寬43 m長的鋁和二氧化硅介質的互連延遲(大于10 ps)已超過了0.18 m晶體管的柵延遲(5 ps)。除了時間延遲以外,還產生了噪聲容限,功率耗散和電遷移等問題。因此研究導電性能好、抗電遷移能力強的金屬和低介電常數 (K3)的絕緣介質一直是一個重要的課題。第9章 高頻電路的集成比與EDA1997年9月IBM和Motorola相繼宣布開發(fā)成功以銅代鋁制造IC的新技術即用電鍍方法把銅沉

19、積在硅圓片上預先腐蝕的溝槽里,然后用化學機械拋光(CMP)使之平坦化。并于1998年末兩公司先后生產出銅布線的商用高速PC芯片。Cu互連的優(yōu)點為電阻率較Al低40,在保持同樣的RC時間延遲下,可以減少金屬布線的層數,而且芯片面積可縮小20%30%,其性能和可靠性均獲得提高。Cu互連還存在一些問題,如Cu易擴散入Si和大多數電介質中,因此需要引入適當的阻擋層等。第9章 高頻電路的集成比與EDA3) 低K介電材料技術由于IC互連金屬層之間的絕緣介質采用SiO2或氮化硅,其介電常數分別接近4和7,造成互連線間較大的電容。因此研究與硅工藝兼容的低K介質也是重要的課題之一。 第9章 高頻電路的集成比與E

20、DA2. 更大規(guī)模和單片化更大規(guī)模和單片化集成工藝的改進和集成度的提高直接導致集成電路規(guī)模的擴大。實際上,改進集成工藝和提高集成度的目的也正是為了制作更大規(guī)模的集成電路。20世紀90年代的硅工藝技術發(fā)展到現在的深亞微米工藝,芯片的集成度已大大超過1000萬,已經足以將各種功能電路(A/D、D/A和RF電路等)甚至整個電子系統(tǒng)集成到單一芯片上,成為單片集成的片上系統(tǒng)(SOC,System On Chip)。當前,單片化的大規(guī)模集成電路的熱點之一就是高頻電路或射頻電路的單片集成化。而這些集成電路在過去大多是用雙極工藝或砷化鉀工藝制作、以薄/厚膜技術實現的,現在基本上可以用CMOS工藝來實現,如用0

21、.5 m的標準CMOS工藝可以為GPS接收機和GSM手機提供性能/價格比優(yōu)于GaAs的RF器件,工作頻率可達1.8 GHz。當然,在集成電路向單片化發(fā)展的同時,并不妨礙獨立的高頻集成電路的發(fā)展。第9章 高頻電路的集成比與EDA3. 更高頻率更高頻率隨著無線通信頻段向高端的擴展,勢必也會開發(fā)出頻率更高的高頻集成電路。4. 數字化與智能化數字化與智能化隨著數字技術和數字信號處理(DSP)技術的發(fā)展,越來越多的高頻信號處理電路可以用數字和數字信號處理技術來實現,如數字上/下變頻器、數字調制/解調器等。這種趨勢也表現在高頻集成電路中。從無線通信的角度來講,高頻集成電路數字化的趨勢將越來越向天線端靠近,

22、這與軟件無線電的發(fā)展趨勢是一致的。所謂軟件無線電(Software Radio), 就是用軟件來控制無線電通信系統(tǒng)各個模塊(放大器、調制/解調器、數控振蕩器、濾波器等)的不同參數(頻率、增益、功率、帶寬、調制解調方式、阻抗等),以實現不同的功能。第9章 高頻電路的集成比與EDA片上系統(tǒng)或大規(guī)模的單片集成電路中通常不僅有高頻集成電路的成分,而且包含大量的其它數字型和模擬型電路,使整個集成電路的“硬件”很難區(qū)分出高頻集成電路和其它集成電路。在此片上系統(tǒng)或大規(guī)模的單片集成電路中還經常嵌入有系統(tǒng)運行涉及的算法、指令、驅動模式等“軟件”,配合“硬件”中的數字信號處理(DSP)器、微處理器(MPU)、各種

23、存儲器(如ROM、RAM、E2ROM、Flash ROM)等單元或模塊,可以實現智能化。 高頻電路集成化存在的主要問題是,除了一般集成電路都存在的工藝、成本和功耗、體積問題之外,電感、大電容、選擇性濾波器等很難集成。對于無線通信,理想的集成化收發(fā)信機,應該是除天線、收發(fā)和頻道開關/音量電位器、終端設備及選擇性濾波器之外,其它電路都由集成電路或單片集成電路來完成。當然,目前要做到這一點還是有一定困難的。但是,隨著技術的發(fā)展,收發(fā)信機的完全集成化不是不能實現的。第9章 高頻電路的集成比與EDA9.2 高頻集成電路高頻集成電路9.2.1 高頻單元集成電路高頻單元集成電路這里的高頻單元集成電路,指的是

24、完成某一單一功能的高頻集成電路,如集成的高頻放大器 (低噪聲放大器、寬帶高頻放大器、高頻功率放大器)、高頻集成乘法器(可用做混頻器、調制解調器等)、高頻混頻器、高頻集成振蕩器等,其功能和性能通常具有一定的通用性。這類高頻集成電路非常多,這里就不羅列了。第9章 高頻電路的集成比與EDA9.2.2 高頻組合集成電路高頻組合集成電路高頻組合集成電路是集成了某幾個高頻單元集成電路和其它電路來完成某種特定功能的集成電路。比如MC13155是一種寬帶調頻中頻集成電路,它是為衛(wèi)星電視、寬帶數據和模擬調頻應用而設計的調頻解調器,具有很高的中頻增益(典型值為46 dB功率增益),12 MHz的視頻/基帶解調器,

25、同時具有接收信號強度指示(RSSI)功能(動態(tài)范圍約35 dB)。MC13155的內部框圖如圖9-4所示。第9章 高頻電路的集成比與EDA圖 9-4 MC13155的內部框圖第9章 高頻電路的集成比與EDAAD607為一種3 V低功耗的接收機中頻子系統(tǒng)芯片,它帶有自動增益控制(AGC)的接收信號強度指示功能,可廣泛應用于GSM、CDMA、TDMA和TETRA等通信系統(tǒng)的接收機、衛(wèi)星終端和便攜式通信設備中。AD607的引腳如圖9-5所示。它提供了實現完整的低功耗、單變頻接收機或雙變頻接收機所需的大部分電路,其輸入頻率最大為500 MHz,中頻輸入為400 kHz到12 MHz。內部I/Q解調器和

26、相應的鎖相環(huán)路可提供載波恢復,并支持多種調制模式,包括MPSK、MQAM和AM。在中等增益時,使用3 V的單電源(最小2.7 V,最大5.5 V) 的典型電流消耗為8.5mA。第9章 高頻電路的集成比與EDA圖 9-5 AD607的引腳圖第9章 高頻電路的集成比與EDAAD607的內部功能框圖如圖9-6所示。它包含了一個可變增益UHF混頻器和線性四級IF放大器,可提供的電壓控制增益范圍大于90 dB?;祛l級后是雙解調器,各包含一個乘法器,后接一個雙極點2 MHz的低通濾波器,由一鎖相環(huán)路驅動,該鎖相環(huán)路同時提供同相和正交時鐘。芯片還包含有內部的AGC檢測器,溫度穩(wěn)定增益控制系統(tǒng)用于提供準確的R

27、SSI輸出。另外,AD607芯片還具有與CMOS兼容的功耗控制偏置系統(tǒng)。第9章 高頻電路的集成比與EDA圖 9-6 AD607的內部功能框圖第9章 高頻電路的集成比與EDAAD607的UHF混頻器采用改進型的Gilbert類型單元設計,可在低頻至 500 MHz的頻率范圍內工作。混頻器輸入端動態(tài)范圍的高端由RFHI和RFLO間的最大輸入信號電平確定,而低端則由噪聲電平確定?;祛l器的射頻輸入端是差分的,因此RFLO端和RFHI端在功能上是完全相同的, 這些節(jié)點在內部予以偏置,一般假定RFLO交流耦合到地。RF端口可建模為并聯(lián)RC電路。第9章 高頻電路的集成比與EDAI路的解調器和Q路的解調器提供

28、了正交基帶輸出,與中頻保持相鎖定的正交VCO驅動I和Q解調器。當AD607的正交VCO與輸入信號保持相位鎖定時,I和Q解調器還可解調AM信號。該VCO還可與外部的拍頻振蕩器保持相位鎖定,這時解調器用作CW或SSB接收的乘積檢測器。AD607還可用于解調BPSK信號,這時外部的Costas環(huán)路用于載波恢復。MRFIC1502是一個用于GPS接收機的下變換器,內部不僅集成有混頻器(MIXER),而且還集成有壓控振蕩器(VCO)、分頻器、鎖相環(huán)和環(huán)路濾波器,如圖9-7所示。MRFIC1502 具有65 dB的變換增益,功能強大,應用方便。第9章 高頻電路的集成比與EDA圖 9-7 MRFIC1502

29、內部框圖第9章 高頻電路的集成比與EDA9.2.3 高頻系統(tǒng)集成電路高頻系統(tǒng)集成電路高頻系統(tǒng)集成電路就是可以完成某種系統(tǒng)功能的高頻發(fā)射機、高頻接收機和高頻收發(fā)信機集成電路。隨著無線通信技術的飛速發(fā)展, 以及手持式、移動設備的大量出現, 包含有無線收發(fā)器的高頻系統(tǒng)集成電路層出不窮。具有代表性的通用高頻系統(tǒng)集成電路主要有Nordic公司的nRF系列, Chipcon AS公司的CC系列與RFMD公司的TR系列, 當然也有許多用于無線局域網、藍牙系統(tǒng)等領域的專用高頻系統(tǒng)集成電路。第9章 高頻電路的集成比與EDAnRF401是一款單片無線收發(fā)芯片,它集成了高頻發(fā)射、高頻接收、PLL合成、FSK 調制、

30、FSK解調、多頻道切換等功能,具有性能優(yōu)異、外圍元件少、功耗低、使用方便等特點,可廣泛應用于無線數據傳輸系統(tǒng)的產品設計中。nRF401無線收發(fā)芯片的內部結構如圖9-8所示。表9-2所列為其主要電氣性能指標。nRF401單片無線收發(fā)芯片工作頻率為國際通用的數傳頻段433 MHz,由于采用了低發(fā)射功率、高接收靈敏度的設計,使用無需申請許可證,開闊地的使用距離最遠可達1000 m; 采用DSS+PLL頻率合成技術,頻率穩(wěn)定性極好; 具有多個頻道,可方便地切換工作頻率,特別適用于需要多信道工作的特殊場合; 芯片外部只需接一個晶體和幾個阻容、電感元件,基本無需調試。第9章 高頻電路的集成比與EDA圖 9

31、-8 nRF401內部結構第9章 高頻電路的集成比與EDACC2400是由Chipcon AS公司推出的工作在2.42.5 GHz ISM頻段的一款單片射頻收發(fā)芯片。該芯片集成度高, 需要外部元器件少, 功耗低(接收時電流消耗23 mA), 接收靈敏度高(87 dBm1Mb/s,BER=103), 支持完整數據包處理(內部支持數據打包、 CRC校驗和數據編碼), 啟動時間快, 多種低功率模式,節(jié)電方便(供電電壓為1.62.0 V)。它采用GFSK和FSK調制方式, 能夠提供10 kb/s、250 kb/s和1 Mb/s的數據傳輸率。 CC2400芯片內部結構如圖9-9所示。芯片內置有射頻功率放

32、大器、低噪聲放大器、振蕩器、頻率合成器、90 相移器、混頻器、高斯濾波器、A/D、D/A轉換器、數字調制器、數字解調器、控制邏輯單元和FIFO數字接口。第9章 高頻電路的集成比與EDA圖 9-9 CC2400芯片內部結構第9章 高頻電路的集成比與EDA第9章 高頻電路的集成比與EDACC2400的外圍電路可以分為時鐘提供電路, 輸入輸出匹配電路和微控制器接口電路三個部分, 需要極少的外圍元器件, 其典型應用電路如圖9-10所示。時鐘可以由外部有源晶振直接提供,也可以由內部電路提供。由內部電路提供時, 一般使用16 MHz20 ppm的晶體,18 pF5%的電容即可。輸入輸出匹配電路主要用來平衡

33、芯片的輸入輸出阻抗, 使其輸入輸出阻抗為50 ,同時L61、L62為PA及LNA提供直流偏置, 而L71用來隔離TXRX-SWITCH管腳。與微控制器的接口電路分為SPI總線接口與可選的控制及數據輸入輸出接口, 主要用來對CC2400控制寄存器進行讀寫, 控制芯片的收發(fā)模式及數據的輸入輸出。為了更好地理解高頻集成電路的發(fā)展過程,下面以調頻接收機的集成化發(fā)展為例來說明。第9章 高頻電路的集成比與EDA圖 9-10 CC2400典型應用電路第9章 高頻電路的集成比與EDA初期的調頻接收機的集成化,主要是單元電路的集成化。接收機分成低放、中放限幅及鑒頻、本振及前端電路三大部分。低放集成塊已有很多,如

34、國內產品有5G31、X73等。中放集成塊也不少,如5G3Z、X723、6520等。它們主要是供調頻廣播接收機、電視伴音中放、高質量調頻接收機及電臺應用。為了減少外接元件及由本振、混頻帶來的不便,通信機集成中放一般采取一次變頻方案。常用的中頻數值為10.7 MHz。在集成電路中,放大部分都采用差分電路,用射極跟隨器實現級間直接耦合。這種放大兼有限幅功能,在限幅電平以上,輸出電壓極其平穩(wěn)。調頻廣播及電視伴音都屬寬帶調頻,其鑒頻器回路Q值要求較低。但對于窄帶調頻接收機,回路Q值應較高,且應有較高的標準性,并采取溫度補償。如能采用晶體鑒頻器或鎖相解調更好。第9章 高頻電路的集成比與EDA隨著集成度的提

35、高,常把主中放、限幅器、鑒頻器、前置低放、靜噪等電路集成在一起。還可加入AGC(自動增益控制)、AFC(自動頻率控制)、調諧指示等功能電路組成一個組件,這樣具有較大的通用性。 在分立元件接收機中,中放的噪聲對整個接收機噪聲的影響是小的,通常無需考慮噪聲系數要求。但集成電路的噪聲一般較大,所以對前端的中放集成塊還應有低噪聲的要求。此外由于波道數大量增加,并要求具有頻道預置、自動切換等功能,接收機中頻率合成器也必須集成化。 第9章 高頻電路的集成比與EDA前端電路實現集成化,存在著以下問題:(1) 前端電路中LC調諧電路較多; (2) 在VHF頻段,集成化晶體管的fT及噪聲系數等特性比分立晶體管差

36、。但隨著半導體制造技術的發(fā)展及各種超小型元件的出現,以上問題可逐步得到解決。調頻接收機部分前端電路己經實現了集成化,如單片IC2N7254。這類電路中,混頻器采用通常的雙平衡式乘法電路(差分電路),本振電路通常為集電極接地的考畢茲電路,在本振電路與混頻器之間有一緩沖放大器,以防止輸入信號對本振電路產生影響?,F在,已經出現了包括FM、AM功能在內的集射頻、中頻、解調和低放于一體的高集成度單片集成電路,如MC3362/3等。圖9-11為MC3363 組成框圖。第9章 高頻電路的集成比與EDA圖 9-11 MC3363組成框圖第9章 高頻電路的集成比與EDA9.3 高頻電路高頻電路EDA9.3.1

37、EDA技術及其發(fā)展技術及其發(fā)展人類社會已進入到高度發(fā)達的信息化社會,信息社會的發(fā)展離不開電子產品的進步?,F代電子產品在性能提高、復雜度增大的同時,價格卻一直呈下降趨勢,而且產品更新?lián)Q代的步伐也越來越快,實現這種進步的主要原因就是生產制造技術和電子設計技術的發(fā)展。前者以微細加工技術為代表,目前已進展到深亞微米階段,可以在幾平方厘米的芯片上集成數千萬個晶體管; 后者的核心就是EDA技術。EDA(Electronics Design Automation)即電子設計自動化技術,是指以計算機為基本工作平臺,融合了應用電子技術、計算機技術、計算數學、拓撲邏輯學和智能化技術等最新成果而開發(fā)出來的電子設計軟

38、件工具,主要進行三方面的設計工作: IC設計,電子電路設計以及PCB設計。沒有EDA技術的支持,想要完成超大規(guī)模集成電路的設計制造是不可想象的。反過來,生產制造技術的不斷進步又必將對EDA技術提出新的要求。第9章 高頻電路的集成比與EDAEDA技術的發(fā)展可分為三個階段: 計算機輔助設計(CAD)階段。20世紀年代,隨著中小規(guī)模集成電路的開發(fā)應用,傳統(tǒng)的手工制圖設計印刷電路板和集成電路的方法已無法滿足設計精度和效率的要求,因此,工程師們開始進行二維平面圖形的計算機輔助設計,主要是用計算機輔助進行IC版圖編輯和PCB布局布線,這就是第一代的DA工具。第9章 高頻電路的集成比與EDA計算機輔助工程(

39、CAE)階段。到了20世紀80年代,CAD已不能適應電子產品在規(guī)模和制作上的需要,這樣,就產生了第二代的技術計算機輔助工程。CAE的主要功能是: 原理圖輸入,邏輯仿真,電路分析,自動布局布線,PCB后分析。與CAD相比,它除了純粹的圖形繪制功能外,又增加了電路功能設計和結構設計,并且通過電氣連接網絡表將兩者結合在一起,以實現工程設計。其特點是以軟件工具為核心,通過這些軟件完成產品開發(fā)的設計、分析、生產、測試等各項工作。第9章 高頻電路的集成比與EDA電子系統(tǒng)設計自動化(ESDA)階段。盡管CAD/CAE技術取得了巨大的成功,但并沒有把人們從繁重的設計工作中徹底解放出來。在整個設計過程中,自動化

40、和智能化程度還不高。各種EDA軟件界面千差萬別,學習使用困難,并且互不兼容,直接影響到設計環(huán)節(jié)間的銜接。20世紀90年代以后,隨著EDA技術的繼續(xù)發(fā)展,出現了以高級語言描述、系統(tǒng)級仿真和綜合技術為特征的第三代EDA技術電子系統(tǒng)設計自動化。它的出現,極大地提高了系統(tǒng)設計的效率,使廣大的電子設計師開始實現“概念驅動工程”的夢想。設計師們擺脫了大量的輔助設計工作,而把精力集中于創(chuàng)造性的方案與概念構思上,極大地提高了設計效率,縮短了產品的研制周期。 第9章 高頻電路的集成比與EDA9.3.2 EDA技術的特征與技術的特征與EDA方法方法現代EDA技術的基本特征是采用高級語言描述,具有系統(tǒng)級仿真和綜合能

41、力。它主要采用并行工程和“自頂向下(Top Down)”的設計方法,使開發(fā)者從一開始就要考慮到產品生成周期的諸多方面,包括質量、成本、開發(fā)時間及用戶的需求等等。然后從系統(tǒng)設計入手,在頂層進行功能方框圖的劃分和結構設計,在方框圖一級進行仿真、糾錯,并用VHDL、Verilog HDL、HDL、ABEL等硬件描述語言對高層次的系統(tǒng)行為進行描述,在系統(tǒng)一級進行驗證,最后再用邏輯綜合優(yōu)化工具生成具體的門級邏輯電路的網表,其對應的物理實現級可以是印刷電路板或專用集成電路。近幾年來,硬件描述語言等設計數據格式的逐步標準化、不同設計風格和應用的要求導致各具特色的EDA工具被集成在同一個工作站上,從而使EDA

42、框架日趨標準化。第9章 高頻電路的集成比與EDAEDA系統(tǒng)框架結構(Framework)是一套配置和使用EDA軟件包的規(guī)范,目前主要的EDA系統(tǒng)都建立了框架結構,如Cadence公司的Design Framework,Mentor公司的Falcon Framework等,這些框架結構都遵守國際CFI組織(CAD Framework Initiative)制定的統(tǒng)一技術標準。Framework能將來自不同EDA廠商的工具軟件進行優(yōu)化組合,集成在一個易于管理的統(tǒng)一的環(huán)境之下,而且還支持任務之間、設計師之間在整個產品開發(fā)過程中實現信息的傳輸與共享,這是并行工程和Top Down設計方法的實現基礎。E

43、DA技術的基本設計方法主要包括系統(tǒng)級設計、電路級設計和物理級設計。物理級設計一般由半導體廠家完成,對電子工程師最有意義的是系統(tǒng)級設計和電路級設計。第9章 高頻電路的集成比與EDA電路級設計工作從確定設計方案開始,同時要選擇能實現該方案的合適元器件,然后根據具體的元器件設計電路原理圖。接著進行第一次仿真,包括數字電路的邏輯模擬、故障分析、模擬電路的交直流分析、瞬態(tài)分析。系統(tǒng)在進行仿真時,必須要有元件模型庫的支持,計算機上模擬的輸入輸出波形代替了實際電路調試中的信號源和示波器。這一次仿真主要是檢驗設計方案在功能方面的正確性。仿真通過后,根據原理圖產生的電氣連接網絡表進行PCB板的自動布局布線。在制

44、作PCB板之前還可以進行后分析,包括熱分析、噪聲及竄擾分析、電磁兼容分析、可靠性分析等,并且可以將分析后的結果參數反饋回電路圖,進行第二次仿真,也稱為后仿真。這一次仿真主要是檢驗PCB板在實際工作環(huán)境中的可行性。第9章 高頻電路的集成比與EDA由此可見,電路級的EDA技術使電子工程師在實際的電子系統(tǒng)產生之前,就可以全面地了解系統(tǒng)的功能特性和物理特性,從而將開發(fā)過程中出現的缺陷消滅在設計階段,這不僅縮短了開發(fā)時間,也降低了開發(fā)成本。系統(tǒng)級的設計是一種“概念驅動式”設計,設計人員無須進行電路級設計,因此可以把精力集中于創(chuàng)造性的概念構思與方案上,一旦這些概念構思以高層次描述的形式輸入計算機后,EDA

45、系統(tǒng)就能以規(guī)則驅動的方式自動完成整個設計。這樣,新的概念得以迅速有效的成為產品,大大縮短了產品的研制周期。此外,系統(tǒng)級設計只涉及系統(tǒng)的行為特性,而不涉及實現工藝,在廠家綜合庫的支持下,利用綜合優(yōu)化工具可以將高層次描述轉換成針對某種工藝優(yōu)化的網表,工藝轉化變得輕松容易。第9章 高頻電路的集成比與EDA9.3.3 EDA工具工具EDA工具的發(fā)展經歷了兩個大的階段: 物理工具和邏輯工具。物理工具用來完成設計中的實際物理問題,如芯片布局、印刷電路板布線等等; 邏輯工具是基于網表、布爾邏輯、傳輸時序等概念,首先由原理圖編輯器或硬件描述語言進行設計輸入,然后利用EDA系統(tǒng)完成綜合、仿真、優(yōu)化等過程,最后生

46、成物理工具可以接受的網表或VHDL、Verilog HDL的結構化描述。現在常見的EDA工具有編輯器、仿真器、檢查/分析工具、優(yōu)化/綜合工具等等。不同設計風格和應用要求的EDA工具通常都以EDA軟件包的形式被集成在工作站或計算機上。目前,國內使用的EDA軟件很多,大多功能強大、完整, 最常用的主要有: 第9章 高頻電路的集成比與EDA(1) PROTEL: PROTEL是PROTEL公司在20世紀80年代末推出的EDA軟件。在電子行業(yè)的CAD軟件中。它是電子設計者的首選軟件。它較早就在國內開始使用,在國內的普及率也最高。早期的PROTEL主要作為印制板自動布線工具使用,運行在DOS環(huán)境,對硬件

47、的要求很低,在無硬盤286機的1 MB內存下就能運行。但其功能也較少,只有電原理圖繪制與印制板設計功能,其印制板自動布線的布通率也低。而現今的PROTEL已發(fā)展到PROTEL2000,是一個完整的板級全方位電子設計系統(tǒng),它包含了電原理圖繪制、模擬電路與數字電路混合信號仿真、多層印制電路板設計 (包含印制電路板自動布線)、可編程邏輯器件設計、圖表生成、電子表格生成、支持宏操作等功能,并具有Client/Server (客戶/服務器)體系結構。同時還兼容一些其它設計軟件的文件格式,如ORCAD,PSPICE,EXCEL等。其多層印制線路板的自動布線可實現高密度PCB的100布通率。第9章 高頻電路

48、的集成比與EDA(2) ORCAD: ORCAD是由ORCAD公司于20世紀80年代末推出的EDA軟件,它是世界上使用最廣的功能強大的EDA軟件。它集成了電原理圖繪制、印制電路板設計、數字電路仿真、可編程邏輯器件設計、模擬與數字電路混合仿真等功能。而且它的界面友好且直觀,元器件庫豐富,僅是電路仿真的元器件庫就有8500個,收入了幾乎所有的通用型電子元器件模塊。第9章 高頻電路的集成比與EDA(3) PSPICE: 它是較早出現的EDA軟件之一,1985年就由MICROSIM公司推出。它在電路仿真方面的功能很強,已被并入ORCAD等大型EDA軟件,在國內使用非常普遍。整個軟件由原理圖編輯、電路仿

49、真、激勵編輯、元器件庫編輯、波形圖等幾個部分組成,使用時是一個整體,但各個部分各有各的窗口。最新推出的版本為PSPICE9.1,工作于Windows 95/98/NT平臺上,是功能強大的模擬電路和數字電路混合仿真的EDA軟件。它可以進行各種各樣的電路仿真、激勵建立、溫度與噪聲分析、模擬控制、波形輸出、數據輸出,并可在同一個窗口內同時顯示模擬與數字的仿真結果。無論對哪種器件哪些電路進行仿真,包括IGBT、脈寬調制電路、模/數轉換、數/模轉換等,都可以得到精確的仿真結果。對于庫中沒有的元器件模塊,還可以自己編輯。第9章 高頻電路的集成比與EDA(4) EAD2000: 這是一個純國產的EDA軟件,

50、主要應用于電子線路圖、印制電路板和電氣工程圖的計算機輔助自動化設計。它具有完整的繪圖、輸出、建庫、自動化布局布線、設計優(yōu)化、標準化等功能。(5) MATLAB: MATLAB本是一個由美國Math Works公司推出的用于數值計算和信號處理的數學計算軟件包,但隨著版本的不斷升級,不同應用領域的專用庫函數和模塊匯集起來作為工具箱添加到軟件包中,其功能越來越強大。利用通信系統(tǒng)工具箱等,可以進行系統(tǒng)級的通信系統(tǒng)設計與仿真。第9章 高頻電路的集成比與EDA(6) Cadence: 它是由Cadence公司推出的高級EDA軟件,它可以完成原理圖設計、模擬數字仿真及混合仿真、PCB板設計與制作,還可以進行

51、PIC,ASIC的設計仿真等。Cadence軟件具有大量的元器件庫和功能模塊,用戶界面非常友好,菜單顯示直接方便。但該軟件占據空間較大,調用時間長,一般裝于工作站上,才能滿足設計者的操作需要。它使用UNIX操作系統(tǒng),這要求操作者對UNIX系統(tǒng)運行環(huán)境有足夠的了解。第9章 高頻電路的集成比與EDA(7) Eesof: 這是HP(現為Agilent)公司推出的專門用于高頻和微波電路設計與分析的專業(yè)EDA軟件,主要包括ADS(Advanced Design System)、MDS/RFDS(Microwave Design System)。它收錄有較為完備的各大公司的元器件和集成電路的性能參數及封裝

52、信息,不僅可以對高頻及微波系統(tǒng)進行系統(tǒng)級和電路級的設計與分析,而且可以進行電路板級等仿真分析以及電磁兼容分析、熱分析、穩(wěn)定性分析和靈敏度分析等,功能強大。利用該公司提供的RF編譯器,還可以根據用戶自己定義的技術規(guī)范和允許采用的零部件清單,從行為級的描述開始,直接綜合RF線路。此RF編譯器自動選擇一種線路結構,計算元器件的數值,并和ADS結合使用,生成可以工作的線路原理圖。因此,在高頻和微波領域應用較為普遍。第9章 高頻電路的集成比與EDA另外, 還有許多小型(只有幾MB到幾十MB, 最多幾百MB)的高頻電路EDA軟件,它們一般只有單一功能或某一方面的功能, 且很多為免費軟件或費用很低。其中有的

53、軟件可在有關網站上下載,有的可以在線(online)仿真。這里列舉一些這樣的工具。(1) AppCAD: 這是Agilent公司開發(fā)的免費Windows版程序(早期版本只能在DOS操作系統(tǒng)下工作)。它能夠幫助工程師們快速設計BJT(雙極型晶體管)、FET(場效應管)和MMIC(微波單片集成電路)的偏置網絡、檢波器電路、微帶線、帶狀傳輸線等。它還有一個反射計算器用來為所需的輸入輸出阻抗計算電壓駐波比(VSWR)、回波損耗和失配損耗; 一個噪聲計算器用來計算接收器的噪聲系數NF;用于無源元件的標準值計算器。當前的版本是V3.0.2,可以進行復數匹配計算、混頻器的雜散計算和交調互調計算,并增加了可靠

54、性設計的內容。第9章 高頻電路的集成比與EDA(2) RFSim99: 它是由HYDesign公司開發(fā)的一個基于線性S參數的電路仿真器,也是一個免費軟件。它有一個RF計算器, 可計算頻率與波長、諧振回路、熱噪聲、信號電平和回波損耗 (或駐波比);它可以對無源的空芯電感、印制板電感進行計算,對微帶線、帶狀線、同軸電纜、扁平雙線進行計算,對電容器、耦合線和功分器進行計算; 它可以對射頻的匹配電路、衰減電路和濾波器電路進行設計與計算。第9章 高頻電路的集成比與EDA(3) Multisim: Multisim是加拿大IIT公司在原有EWB(Electronics Workbench)電子線路仿真軟件

55、基礎上的升級軟件。它可以對模擬、數字和模擬/數字混合電路進行仿真,用虛擬的元件搭建各種電路,用虛擬的儀表進行各種參數和性能指標的測試。Multisim 7提供了專門用于射頻電路仿真的元件模型庫和儀表,以此搭建射頻電路并進行實驗與仿真,克服了SPICE仿真的結果與實際電路測試結果相差較大的缺點,提高了射頻電路仿真的準確性。第9章 高頻電路的集成比與EDA(4) Filter Design:它是由AADE公司開發(fā)的用于設計或計算集中參數濾波器的軟件,幾乎可以包含任何類型的低通、高通、帶通和帶阻濾波器,如巴特沃斯(Butterworth)、切比雪夫(Chebyshev)、橢圓(Elliptic)、貝

56、塞爾(Bessel)、高斯(Gaussian)和晶格 (Crystal ladder)濾波器等,可以計算這些濾波器的元件參數、插入損耗、回波損耗、群延遲、輸入阻抗等。(5) MixSpur:它是由Engineers Club開發(fā)的低價格軟件,可以以圖形和表格的形式顯示混頻器和本振(LO)級產生的寄生輸出信號的頻率和幅度。這對混頻器的設計非常有用。 第9章 高頻電路的集成比與EDA(6) Easy PLL:它是由美國國家半導體公司(NS)開發(fā)的用以設計和檢查PLL電路的軟件。只需將所需的參考頻率、調諧范圍和鑒相頻率放在合適的塊內,就可用此軟件快速而準確地選出合適的VCO和PLL芯片,并可設計整個

57、環(huán)路濾波器,輸出PLL的全部特性。利用此軟件,還可以檢查PLL的穩(wěn)定性和元器件值是否合適。還有很多關于匹配網絡設計的軟件,如LC Match和Impedance Matching Network Designer等,以及用于有線電視工程中的個人軟件Advance RF toolkit等。第9章 高頻電路的集成比與EDA9.3.4 高頻電路高頻電路EDA高頻電路EDA與一般的電子電路EDA基本方法沒有本質區(qū)別,可按照如圖9-12 所示電路級的設計與分析步驟進行,但要注意高頻電路的基本概念、基本參數和高頻電路的特殊性。高頻電路EDA一般用的是可以進行模擬電路(最好是高頻或微波電路)和模數混合電路設

58、計與仿真的EDA軟件。下面以Hp-Eesof61(Series IV)軟件設計一個兩級的JFET放大器,來說明高頻電路EDA的簡單工作過程。Hp-Eesof61軟件的典型工作環(huán)境有四個主要的工作窗口: schem、test、layout和default。在schem窗口中進行電路和系統(tǒng)的原理設計和優(yōu)化; 在test窗口中進行原理的仿真; 在layout 窗口中進行印制板的布線、仿真和優(yōu)化工作; 在default中設定環(huán)境條件。第9章 高頻電路的集成比與EDA圖 9-12 高頻電路EDA步驟框圖第9章 高頻電路的集成比與EDA圖9-13 是HP Eesof61的系統(tǒng)設計結構框圖。在設計中一般采用

59、頂層底層和底層頂層的設計方案。頂層設計主要是對系統(tǒng)總體方案的設計和仿真,底層設計主要完成具體電路的設計和仿真。頂層設計所涉及到的部件可以通過三種方法獲得: 對于普通部件可以利用已有器件庫中的器件; 對于特殊的部件可以通過網絡參數分析儀得到的S參數構造部件; 對于用戶設計部件可以調用用戶設計的電路器件獲得。電路設計所涉及到的器件可以通過現有的元件庫和測量所得到的參數模型得到。第9章 高頻電路的集成比與EDA圖 9-13 Hp-Eesof61 系統(tǒng)設計結構第9章 高頻電路的集成比與EDA在仿真中用來測試的信號十分重要,Eesof提供了如掃頻信號、調頻信號、QPSK信號等多種信號。對于特定信號可以通

60、過從HP89440A信號矢量分析儀得到的數據編寫信號數據文件獲得。在下面所舉的例子中可以看到Eesof提供了大量的測試工具,為電路設計人員提供了強大的測試功能。一個兩級的JFET放大器原理圖如圖9-14所示。第9章 高頻電路的集成比與EDA圖9-14 JFET放大器原理圖第9章 高頻電路的集成比與EDA對它進行S參數性能分析,圖9-15為電路仿真線性測試平臺。圖9-16 是這個電路的線性仿真測試結果。從圖上可以看到該放大器在85115 MHz范圍內具有大于22 dB的增益,輸入和輸出的反向損失小于-10 dB。第9章 高頻電路的集成比與EDA圖 9-15 電路仿真平臺第9章 高頻電路的集成比與

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網僅提供信息存儲空間,僅對用戶上傳內容的表現方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論