




版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、畢業(yè)設(shè)計(jì)論文基于FPGA的交通燈論文 畢業(yè)設(shè)計(jì)論文題 目: 基于FPGA的交通燈控制器設(shè)計(jì) 學(xué) 生: 指導(dǎo)老師: 系 別: 專 業(yè): 電子信息工程技術(shù) 班 級(jí): 07電子信息工程技術(shù)2班 學(xué) 號(hào): 編號(hào)NO:目錄第一章 緒論1.1、設(shè)計(jì)任務(wù)與要求31.2、設(shè)計(jì)概述41.3、設(shè)計(jì)要求和技術(shù)指標(biāo)4第二章、方案選擇和論證42.1、單元電路及作用42.2、方案選擇4第三章、單元電路設(shè)計(jì)與計(jì)算43.1、主控制器43.2、定時(shí)器電路53.3、譯碼電路63.4、控制器傳感器63.5、計(jì)數(shù)器8四、設(shè)計(jì)總體電路圖11五、電路的安裝和調(diào)試12第七章、收獲和體會(huì)12第七章、致謝語(yǔ)12第八章、參考文獻(xiàn)13基于FPGA
2、的交通燈控制器三明學(xué)院電子信息工程技術(shù) 福建三明 365004摘要交通燈是城市交通監(jiān)管系統(tǒng)的主要組成局部,對(duì)于保證機(jī)動(dòng)車(chē)輛的平安運(yùn)行,維持城市道路的順暢起到了主要作用。目前很多城市交叉路口的交通燈執(zhí)行的是定時(shí)控制,燈亮的時(shí)間是預(yù)先設(shè)定好的,在時(shí)間和空間方面的應(yīng)變性能較差,一定程度上造成了交通資源的浪費(fèi),加重了道路交通壓力。本文在EDA技能的根底上,運(yùn)用 FPGA的有關(guān)知識(shí)設(shè)計(jì)設(shè)計(jì)了一個(gè)交通燈控制系統(tǒng),主干道交通燈按綠黃紅變化,支干道交通燈按紅綠黃變化。設(shè)計(jì)采用了自頂向下的設(shè)計(jì)方法,首先根據(jù)功能將電路分為定時(shí)器、譯碼器、計(jì)時(shí)顯示譯碼電路,時(shí)鐘脈沖振蕩電路,傳感器電路,電路總圖,然后針對(duì)每個(gè)模塊進(jìn)
3、行開(kāi)發(fā)。利用+Plus中的仿真工具對(duì)每個(gè)模塊進(jìn)行仿真,保證功能正確。在此根底上,將所有模塊連接起來(lái),形成完整的設(shè)計(jì),并用+Plus中的仿真工具再次仿真。仿真結(jié)果說(shuō)明功能正確,符合設(shè)計(jì)要求。最后利用+Plus將程序下載到Altera FPGA芯片EP1C3T144C8中,實(shí)際結(jié)果說(shuō)明電路工作正常,滿足了設(shè)計(jì)要求。驗(yàn)證了設(shè)計(jì)的交通信號(hào)燈控制電路完全可以實(shí)現(xiàn)預(yù)定的功能,具有一定的實(shí)用性。Verilog HDL,交通燈,F(xiàn)PGA2、設(shè)計(jì)要求和技術(shù)指標(biāo):1用紅、綠、黃三色發(fā)光二極管作信號(hào)燈。2當(dāng)主干道允許通行亮綠燈時(shí),支干道亮紅燈,而支干道允許亮綠燈時(shí),主干道亮紅燈。3主支干道交替允許通行,主干道每次放
4、行60s、支干道30s。設(shè)計(jì)60s和30s計(jì)時(shí)顯示電路。4在每次由亮綠燈變成亮紅燈的轉(zhuǎn)換過(guò)程中間,要亮5s的黃燈作為過(guò)渡,以使行駛中的車(chē)輛有時(shí)間停到禁止線以外,設(shè)置5s計(jì)時(shí)顯示電路。此時(shí)原紅燈不變即:主綠次紅 黃紅 紅綠 紅黃二、方案選擇和論證交通燈控制電路根本原理及電路設(shè)計(jì)控制器整體結(jié)構(gòu)如圖1圖1 交通燈控制器結(jié)構(gòu)圖1、方案論證1傳感器s:監(jiān)測(cè)主干道和支干道是否有車(chē),當(dāng)有車(chē)時(shí)向主控制器發(fā)出信號(hào)。2時(shí)鐘信號(hào)發(fā)生器:產(chǎn)生穩(wěn)定的“秒脈沖信號(hào),確保整個(gè)電力裝置同步工作和實(shí)現(xiàn)定時(shí)控制。3計(jì)時(shí)器:按計(jì)時(shí)要求記錄“秒脈沖的數(shù)目,完成計(jì)時(shí)任務(wù),向主控制器發(fā)出相應(yīng)的定時(shí)信號(hào),控制主、支干道通車(chē)時(shí)間和綠燈變紅燈
5、的切換時(shí)間。4控制器:根據(jù)傳感器和計(jì)時(shí)器送來(lái)的信號(hào),保持或改變電路的狀態(tài),以實(shí)現(xiàn)對(duì)主、支干道車(chē)輛運(yùn)行狀態(tài)的控制。5譯碼驅(qū)動(dòng)電路:按照主控制器所處的狀態(tài)進(jìn)行譯碼,再驅(qū)動(dòng)相應(yīng)的信號(hào)燈,指揮主、支干道車(chē)輛。三、單元電路設(shè)計(jì)與計(jì)算3.1、主控制器主控電路,它的輸入信號(hào)來(lái)自車(chē)輛的檢測(cè)信號(hào)和60s、30s、5s三個(gè)定時(shí)信號(hào),它的輸出一方面經(jīng)譯碼后分別控制主干道和支干道的三個(gè)信號(hào)燈,另一方面控制定時(shí)電路啟動(dòng)。主控電路屬于時(shí)序邏輯電路,可采用狀態(tài)機(jī)的方法進(jìn)行設(shè)計(jì)。主控電路的輸入信號(hào)有:主干道有車(chē)A1,無(wú)車(chē)A0;支干道有車(chē)B1,無(wú)車(chē)B0;主干道有車(chē)過(guò)60s為L(zhǎng)1,未過(guò)60s為L(zhǎng) 0;支干道有車(chē)過(guò)30s為S1,未
6、過(guò)30s為S0;黃燈亮過(guò)5s為P1,未過(guò)5s為P0。主干道和支干道各自的三種燈紅、黃、綠,正常工作時(shí),只有4種可能,即4種狀態(tài):主綠燈和支紅燈亮,主干道通行,啟動(dòng)60s定時(shí)器,狀態(tài)為S0;主黃燈和支紅燈亮,主干道停車(chē),啟動(dòng)5s定時(shí)器,狀態(tài)為S1;主紅燈和支綠燈亮,支干道通行,啟動(dòng)30s定時(shí)器,狀態(tài)為S2;主紅燈和支黃燈亮,支干道停車(chē),啟動(dòng)5s定時(shí)器,狀態(tài)為S3。四種狀態(tài)的轉(zhuǎn)換關(guān)系如圖2: 圖2 交通燈控制狀態(tài)轉(zhuǎn)換圖可用2個(gè)JK觸發(fā)器表達(dá)上述四種狀態(tài)的分配和轉(zhuǎn)換。3.2、定時(shí)器電路這些計(jì)時(shí)器除需要秒脈沖作時(shí)鐘信號(hào)外,還應(yīng)受主控器的狀態(tài)和傳感器信號(hào)的控制。例如60s計(jì)時(shí)器應(yīng)在主、支干道都有車(chē),主控
7、器進(jìn)入S0狀態(tài)主干道通行時(shí)開(kāi)始計(jì)時(shí),等到60s后往主控器送出信號(hào)L1并產(chǎn)生復(fù)零脈沖使該計(jì)數(shù)器復(fù)零。同樣30s計(jì)時(shí)器必須在主、支干道都有車(chē),主控器進(jìn)入S2狀態(tài)時(shí)開(kāi)始計(jì)數(shù),而5s計(jì)時(shí)器那么要在進(jìn)入S1或S3狀態(tài)時(shí)開(kāi)始計(jì)數(shù),待到規(guī)定時(shí)間分別輸出S1、P1信號(hào),并使計(jì)數(shù)器復(fù)零。設(shè)計(jì)中30s計(jì)數(shù)器可以采用兩個(gè)十進(jìn)制計(jì)數(shù)器74LS160N連成三十進(jìn)制計(jì)數(shù)器,為使復(fù)零信號(hào)有足夠的寬度,可采用根本RS觸發(fā)器組成反應(yīng)復(fù)零電路。按同樣的方法可以設(shè)計(jì)出30s和5s計(jì)時(shí)電路。與60s計(jì)時(shí)電路相比,后兩者只是控制信號(hào)和反應(yīng)信號(hào)的引出端不同而已定時(shí)器由與系統(tǒng)秒脈沖由時(shí)鐘脈沖產(chǎn)生器提供同步的計(jì)數(shù)器構(gòu)成,要求計(jì)數(shù)器在狀態(tài)信號(hào)
8、ST作用下,首先清零,然后在時(shí)鐘脈沖上升沿作用下,計(jì)數(shù)器從零開(kāi)始進(jìn)行增1計(jì)數(shù),向控制器提供模5的定時(shí)信號(hào)TY和模25的定時(shí)信號(hào)TL。計(jì)數(shù)器選用集成電路74LS163進(jìn)行設(shè)計(jì)較簡(jiǎn)便。74LS163是4位二進(jìn)制同步計(jì)數(shù)器,它具有同步清零、同步置數(shù)的功能。74LS163的外引線排列圖和時(shí)序波形圖如圖12、3所示,其功能表如表12、2所示。圖中, 是低電平有效的同步清零輸入端, 是低電平有效才同步并行置數(shù)控制端,CTp、CTT是計(jì) 圖12.2 交通燈的ASM圖數(shù)控制端,CO是進(jìn)位輸出端,D0D3是并行數(shù)據(jù)輸入端,Q0Q 3是數(shù)據(jù)輸出端。由兩片74LS163級(jí)聯(lián)組成的定時(shí)器0s計(jì)時(shí)器應(yīng)在主、支干道都有車(chē)
9、,主控器進(jìn)入S0狀態(tài)主干道通行時(shí)開(kāi)始計(jì)時(shí),等到60s后往主控器送出信號(hào)L1并產(chǎn)生復(fù)零脈沖使該計(jì)數(shù)器復(fù)零。同樣30s計(jì)時(shí)器必須在主、支干道都有車(chē),主控器進(jìn)入S2狀態(tài)時(shí)開(kāi)始計(jì)數(shù),而5s計(jì)時(shí)器那么要在進(jìn)入S1或S3狀態(tài)時(shí)開(kāi)始計(jì)數(shù),待到規(guī)定時(shí)間分別輸出S1、P1信號(hào),并使計(jì)數(shù)器復(fù)零。設(shè)計(jì)中30s計(jì)數(shù)器可以采用兩個(gè)十進(jìn)制計(jì)數(shù)器74LS160N連成三十進(jìn)制計(jì)數(shù)器,為使復(fù)零信號(hào)有足夠的寬度,可采用根本RS觸發(fā)器組成反應(yīng)復(fù)零電路。按同樣的方法可以設(shè)計(jì)出30s和5s計(jì)時(shí)電路。與60s計(jì)時(shí)電路相比,后兩者只是控制信號(hào)和反應(yīng)信號(hào)的引出端不同而已定時(shí)器由與系統(tǒng)秒脈沖由時(shí)鐘脈沖產(chǎn)生器提供同步的計(jì)數(shù)器構(gòu)成,要求計(jì)數(shù)器在狀
10、態(tài)信號(hào)ST作用下,首先清零,然后在時(shí)鐘脈沖上升沿作用下,計(jì)數(shù)器從零開(kāi)始進(jìn)行增1計(jì)數(shù),向控制器提供模5的定時(shí)信號(hào)TY和模25的定時(shí)信號(hào)TL主控器的四種狀態(tài)分別要控制主、支干道紅黃綠燈的亮與滅。令燈亮為“1,燈滅為“0,主干道紅黃綠等分別為AR、AY、AG,支干道紅黃綠等分別為BR、BY、BG,那么信號(hào)燈譯碼電路真值表為:表1 信號(hào)燈譯碼電路真值表輸入輸出Q2Q1ARAYAGBRBYBG00001100010101001010001011100001由真值表可進(jìn)一步得到各燈的邏輯表達(dá)式,進(jìn)而確定其電路形式。2計(jì)時(shí)顯示譯碼電路 計(jì)時(shí)顯示實(shí)際是一個(gè)定時(shí)控制電路,當(dāng)60s、30s、5s任一計(jì)數(shù)器計(jì)數(shù)時(shí),
11、在主支干道各自可通過(guò)數(shù)碼管顯示出當(dāng)前的計(jì)數(shù)值。計(jì)數(shù)器輸出的七段數(shù)碼顯示用BCD碼七段譯碼器驅(qū)動(dòng)即可,具體設(shè)計(jì)可參考課題一設(shè)計(jì)中據(jù)以上方程,選用數(shù)據(jù)選擇器 74LS153來(lái)實(shí)現(xiàn)每個(gè)D觸發(fā)器的輸入函數(shù),將觸發(fā)器的現(xiàn)態(tài)值 加到74LS153的數(shù)據(jù)選擇輸入端作為控制信號(hào)即可實(shí)現(xiàn)控制器的功能??刂破鞯倪壿媹D如圖12.5所示。圖中R、C構(gòu)成上電復(fù)位電路 。A1,無(wú)車(chē)A0;支干道有車(chē)B1,無(wú)車(chē)B0;電路如圖6所示:圖6控制器電路傳感器電路3.5:計(jì)數(shù)器程序brary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity
12、 display isport disclk:in std_logic; num1:in std_logic_vector 3 downto 0 ; num2:in std_logic_vector 3 downto 0 ; num3:in std_logic_vector 3 downto 0 ; num4:in std_logic_vector 3 downto 0 ; num5:in std_logic_vector 3 downto 0 ; num6:in std_logic_vector 3 downto 0 ; num7:in std_logic_vector 3 downto 0
13、 ; num8:in std_logic_vector 3 downto 0 ; led_b:out std_logic; led_c:out std_logic; led_d:out std_logic; led_e:out std_logic; led_f:out std_logic; led_g:out std_logic; led_sa:out std_logic; led_sb:out std_logic; led_sc:out std_logic ;end display;architecture behav of display issignal q:std_logic_vect
14、or 5 downto 0 ;signal sel:std_logic_vector 2 downto 0 ;signal s:std_logic_vector 2 downto 0 ;signal num:std_logic_vector 3 downto 0 ;signal led:std_logic_vector 6 downto 0 ;begin s q 5 downto 3 ; led_sa sel 0 ; led_sb sel 1 ; led_sc sel 2 ; led_a led 0 ; led_b led 1 ; led_c led 2 ; led_d led 3 ; led
15、_e led 4 ; led_f led 5 ; led_g led 6 ; process disclk begin if disclk'event and disclk '1' then if q "111111" then q "000000" else q q+'1' end if; end if; end process; process num1,num2,num3,num4,num5,num6,num7,num8,sel,disclk begin if disclk'event and dis
16、clk '1' then if s "000" then num num1; sel "000" elsif s "001" then num num2; sel "001" elsif s "010" then num num3; sel "010" elsif s "011" then num num4; sel "011" elsif s "100" then num num5; sel &qu
17、ot;100" elsif s "101" then num num6; sel "101" elsif s "110" then num num7; sel "110" else num num8; sel "111" end if; end if; end process; led "0111111" when num 0 else "0000110" when num 1 else "1011011" when num
18、2 else "1001111" when num 3 else "1100110" when num 4 else "1101101" when num 5 else "1111101" when num 6 else "0000111" when num 7 else "1111111" when num 8 else "1101111" when num 9 else "1110111" when num 10 else "1111100" when num 11 else "0111001" when num 12 else "1011110" when num 13 else "1111001"
溫馨提示
- 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 艦橋上行走的規(guī)則
- 城市綜合管廊建設(shè)與管理解決方案
- 環(huán)境科學(xué)中關(guān)于全球氣候變化試題
- 企業(yè)ERP系統(tǒng)集成服務(wù)項(xiàng)目合同
- 地理信息系統(tǒng)應(yīng)用知識(shí)點(diǎn)梳理與考核試題集
- 建筑結(jié)構(gòu)與建筑設(shè)計(jì)練習(xí)題庫(kù)
- 現(xiàn)代管理學(xué)原理應(yīng)用問(wèn)題解析題
- 企業(yè)研發(fā)投入與轉(zhuǎn)化效率對(duì)比表
- 建筑工程施工承包協(xié)議
- 個(gè)性化學(xué)習(xí)服務(wù)平臺(tái)構(gòu)建及實(shí)施方案設(shè)計(jì)
- MES系統(tǒng)實(shí)施管理辦法
- 2024年新課標(biāo)高考化學(xué)真題試題(原卷版+含解析)
- 《七色花》整本書(shū)閱讀導(dǎo)讀活動(dòng) 教學(xué)設(shè)計(jì)-2023-2024學(xué)年語(yǔ)文二年級(jí)下冊(cè)統(tǒng)編版
- 冀人版科學(xué)六年級(jí)下冊(cè)全冊(cè)同步練習(xí)
- 醫(yī)院營(yíng)養(yǎng)食堂餐飲服務(wù)投標(biāo)方案(技術(shù)方案)
- 惡性心律失常的識(shí)別及處理
- 冀教版數(shù)學(xué)四年級(jí)(下冊(cè))觀察物體(二)第2課時(shí) 觀察立體
- 2024年中國(guó)科學(xué)技術(shù)大學(xué)少年創(chuàng)新班數(shù)學(xué)試題真題(答案詳解)
- 中職統(tǒng)編《金屬材料與熱處理》系列課件 第4章 非合金鋼(動(dòng)畫(huà)) 云天系列課件
- 2024年安徽機(jī)電職業(yè)技術(shù)學(xué)院高職單招(英語(yǔ)/數(shù)學(xué)/語(yǔ)文)筆試題庫(kù)含答案解析
- 小升初時(shí)態(tài)專題復(fù)習(xí)-一般過(guò)去時(shí)態(tài)(講義)人教PEP版英語(yǔ)六年級(jí)下冊(cè)
評(píng)論
0/150
提交評(píng)論