智能交通燈設(shè)計(jì)-畢業(yè)設(shè)計(jì)_第1頁(yè)
智能交通燈設(shè)計(jì)-畢業(yè)設(shè)計(jì)_第2頁(yè)
智能交通燈設(shè)計(jì)-畢業(yè)設(shè)計(jì)_第3頁(yè)
智能交通燈設(shè)計(jì)-畢業(yè)設(shè)計(jì)_第4頁(yè)
智能交通燈設(shè)計(jì)-畢業(yè)設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩33頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、本科生畢業(yè)論文設(shè)計(jì)系院專(zhuān)業(yè)電子信息工程論文題目智能交通燈學(xué)生指導(dǎo)教師及職稱(chēng)班 級(jí)學(xué) 號(hào)完成日期:年月智能交通燈設(shè)計(jì)xx物理與電子工程學(xué)院電子信息工程【摘 要】在現(xiàn)代社會(huì)中,交通燈是交通系統(tǒng)中的重要組成部分,而很多的交通燈都存在一定的 缺陷,燈亮的時(shí)間很多是固定的,降低了交通運(yùn)作的效率。本論文以中、小城市為研究對(duì)象,結(jié)STC89C51 單片合單片機(jī)應(yīng)用技術(shù)和交通工程基礎(chǔ),對(duì)智能交通燈系統(tǒng)進(jìn)行了研究。本文章采用 機(jī)的交通燈控制系統(tǒng),該系統(tǒng)采集在一定時(shí)間內(nèi)經(jīng)過(guò)的車(chē)流量,根據(jù)車(chē)流量的大小而改變紅綠燈 的時(shí)間。該系統(tǒng)抗干擾能力強(qiáng)、成本低、智能控制等特點(diǎn)?!娟P(guān)鍵詞】交通燈車(chē)流量倒計(jì)時(shí)智能控制2目錄1 .

2、緒論1.1. 交通燈的發(fā)展 21.2. 課題研究的背景 21.3. 課題研究的主要內(nèi)容 21.4. 課題研究方案 1.4.1. 系統(tǒng)總體方案 1.5. 課題研究的意義 2 .單元電總設(shè)計(jì)2.1. 單片機(jī)概述32.2. 74HC573 芯片簡(jiǎn)介 42.3. 光電開(kāi)關(guān)概述 42.4. 報(bào)警電路設(shè)計(jì) 52.5. 流程圖62.6. 系統(tǒng)設(shè)計(jì)73 .調(diào)試與系統(tǒng)仿真 3.1. 系統(tǒng)仿真83.2. 調(diào)試12總結(jié)與思考參考文獻(xiàn)附錄I系統(tǒng)原理圖附錄II元器件安裝與焊接 1 . 元器件的安裝 182 .元器件的焊接 18附錄III程序設(shè)計(jì)1.程序設(shè)計(jì)18附錄IIII元件清單 .1.23.3141517181833

3、1 .緒論.交通燈的發(fā)展1858年,英國(guó)出現(xiàn)了在早的交通信號(hào)燈,通過(guò)燃煤的紅、藍(lán)的信號(hào)燈。1868年,英國(guó)出現(xiàn)了煤氣信號(hào)燈,紅色表示停止,綠色表示注意。1914年,美國(guó)出現(xiàn)了用電控制的紅綠黃等。1918年,出現(xiàn)了帶控制的紅綠燈。通過(guò)壓力傳感。信號(hào)燈是交通史上的一項(xiàng)偉大的發(fā)明,對(duì)道路的管理有著重要的意義。.課題研究的背景在我們的生活中有很多的交通燈,傳統(tǒng)的交通燈主要有一下三方面的缺陷:1車(chē)輛放行時(shí)間相同,車(chē)輛多的一方容易出現(xiàn)車(chē)輛堆積。2當(dāng)某方向無(wú)車(chē)時(shí),恰好是該方向上的車(chē)輛通行時(shí)間。3當(dāng)一方向車(chē)流量很大時(shí),不能自動(dòng)延長(zhǎng)綠燈時(shí)間,降低了通行的效率。因此,本系統(tǒng)利用紅外光電開(kāi)關(guān)檢測(cè)車(chē)流量,根據(jù)車(chē)流量的

4、大小而控制紅綠 燈的時(shí)間,采用STC89C5律片機(jī)進(jìn)行智能控制。本系統(tǒng)易操控、抗干擾能力強(qiáng)、 適用于大多數(shù)路口,因此具有很高的價(jià)值。.課題研究的主要內(nèi)容1交通燈的原理。2交通燈的硬件設(shè)計(jì)。3車(chē)流量檢測(cè)系統(tǒng)。4程序設(shè)計(jì)。1.4.課題研究方案1.4.1. 系統(tǒng)總體方案圖1系統(tǒng)總體結(jié)構(gòu)框圖1.5.課題研究的意義在當(dāng)今飛速發(fā)展的時(shí)代,交通工具對(duì)我們有很大的幫助,各種工具的增加, 交通堵塞成為一個(gè)嚴(yán)重的問(wèn)題,對(duì)于交通的管理成為重要的一部分,交通管理直 接影響到交通的效率,本設(shè)計(jì)根據(jù)車(chē)流浪的大小智能控制紅綠燈的時(shí)間,極大的提高了交通效率,減少堵塞,防止堵塞交通事故。2 .單元電路設(shè)計(jì)2.1. 單片機(jī)概述S

5、TC89C5鍛高工彳頻率80MHz工彳在5V電源下,片內(nèi)含可反復(fù)擦除 1000 次的只讀程序存儲(chǔ)器,2個(gè)16位定時(shí)器/計(jì)數(shù)器,32個(gè)I/O 口,具有上電自動(dòng)復(fù) 位和按鍵手動(dòng)復(fù)位功能,適應(yīng)環(huán)境能力強(qiáng),可工作在-75C到+85C, STC89C51可直接編程,不需要編程器。圖2 STC89C51實(shí)物圖42.2. 74HC573芯片簡(jiǎn)介74HC573A路鎖存器,屬于高新能的COM件,在與單片機(jī)連接時(shí)不需要新 的驅(qū)動(dòng),直接可以使用,74HC573勺輸出與輸入一樣,對(duì)單片機(jī)輸出的數(shù)據(jù)有很 好的寄存與輸出功能,同時(shí)具有一定的保持功能,當(dāng)輸入消失時(shí),輸出任然保持 一定時(shí)間。74HC57班點(diǎn):輸入輸出口分布在

6、芯片的兩側(cè),為電路提供簡(jiǎn)便的接口。為單片機(jī)和負(fù)載提供傳輸口。2.3. 光電開(kāi)關(guān)概述光電開(kāi)關(guān)廣泛應(yīng)用于各個(gè)產(chǎn)業(yè)中,光電開(kāi)關(guān)對(duì)我們的生活有很大的幫助,不 管是軍事科技,還是生活電器都大量的使用這些傳感器, 光電開(kāi)關(guān)對(duì)測(cè)速、計(jì)數(shù)、 檢測(cè)物體的存在、檢測(cè)物體的大小都有著實(shí)際的運(yùn)用。通過(guò)與其他傳感器的比照,光電開(kāi)關(guān)工作原理簡(jiǎn)單、成本低、實(shí)用價(jià)值高、 抗干擾能力強(qiáng),因此廣泛應(yīng)用在自動(dòng)與半自動(dòng)的產(chǎn)業(yè)中。光電開(kāi)關(guān)通過(guò)發(fā)射一個(gè)脈沖信號(hào),在一定的范圍內(nèi),如果有物體經(jīng)過(guò),那么,光信號(hào)就會(huì)被反射回來(lái), 光電開(kāi)關(guān)再將光信號(hào)轉(zhuǎn)換為電信號(hào),將電信號(hào)送到單片機(jī),經(jīng)過(guò)一定的程序處理, 對(duì)信號(hào)計(jì)數(shù),在一定的時(shí)間內(nèi),單片通過(guò)程序處

7、理,根據(jù)車(chē)流量的多少而改變紅 綠燈的時(shí)間,從而實(shí)現(xiàn)智能調(diào)節(jié)時(shí)間。圖3紅外開(kāi)關(guān)52.將蜂鳴器接在口,蜂鳴器一段接電源,另一端接輸入。蜂鳴器是由電磁線(xiàn)圈和振動(dòng)膜組成,電流進(jìn)過(guò)電磁線(xiàn)圈產(chǎn)生磁場(chǎng)使得振動(dòng)膜片發(fā)出聲音,單片機(jī) I/O 口的電流很小,所以需要電路放大電流,加入一個(gè)三極管對(duì)電流放大,蜂鳴器才 能正常工作。本設(shè)計(jì)中蜂鳴器有著報(bào)警功能,當(dāng)某一方向上是紅燈時(shí),這時(shí)如果有車(chē)經(jīng)過(guò), 那么本系統(tǒng)就會(huì)提出警示,當(dāng)時(shí)綠燈時(shí),報(bào)警停止,報(bào)警對(duì)交通有著重要的作用, 時(shí)刻提醒人們注意交通安全,對(duì)降低交通事故很很大的幫助。圖4報(bào)警電路630嗎2.5.流程圖開(kāi)始初始化數(shù)碼顯示賦車(chē)流量25noyesno圖5流程圖6執(zhí)行

8、狀態(tài)1紅綠燈計(jì)時(shí)50秒執(zhí)行狀態(tài)2紅綠燈計(jì)時(shí)40秒執(zhí)行狀態(tài)3紅綠燈計(jì)時(shí)30秒2.6. 系統(tǒng)設(shè)計(jì)圖6系統(tǒng)總電路22系統(tǒng)說(shuō)明:接通電源后,首先南北方向綠燈,東西方向紅燈,倒計(jì)時(shí) 30秒,當(dāng)?shù)褂?jì)時(shí) 25秒時(shí),南北方向黃燈,倒計(jì)時(shí)5秒,5秒后,南北方向紅燈,東西方向綠燈。本系統(tǒng)具有更具車(chē)流量智能調(diào)節(jié)紅綠燈的時(shí)間,紅外光電開(kāi)關(guān)對(duì)車(chē)道上經(jīng)過(guò)的車(chē)輛計(jì)數(shù),每當(dāng)一輛車(chē)經(jīng)過(guò)是,紅外光電開(kāi)關(guān)便接收一個(gè)信號(hào), 將這個(gè)信號(hào)送 T1 口,T1 對(duì)信號(hào)計(jì)數(shù),經(jīng)過(guò)一分鐘,T1 口將計(jì)數(shù)送入系統(tǒng),根據(jù)相應(yīng)的程序 調(diào)節(jié)紅綠燈顯示的時(shí)間。本系統(tǒng)中分三個(gè)層次,當(dāng)計(jì)數(shù)小于15的時(shí)候,進(jìn)行30秒倒計(jì)時(shí),當(dāng)計(jì)數(shù)在15到25之間時(shí),進(jìn)行40秒

9、倒計(jì)時(shí),當(dāng)計(jì)數(shù)大于25時(shí),進(jìn) 行50秒倒計(jì)時(shí)。當(dāng)某一方向紅燈時(shí),如果有車(chē)輛經(jīng)過(guò),這時(shí)系統(tǒng)將給出警報(bào), 蜂鳴器進(jìn)行報(bào)警。同時(shí),智能交通燈有7個(gè)按鍵,在單片機(jī)左邊第9引腳的是復(fù)位引腳,當(dāng)按 下S1時(shí),全部亮紅燈,此時(shí)是緊急模式,全路禁行,以處理緊急情況;當(dāng)按下 S2時(shí),全部亮綠燈,以備需時(shí)之用;當(dāng)按下S3時(shí),全部亮黃燈;當(dāng)按下S4時(shí), 此時(shí)可以測(cè)試車(chē)流量的數(shù)據(jù);當(dāng)按下 S5時(shí),南北通行,而東西禁行;當(dāng)按下 S6 時(shí),東西通行,而南北禁行。3.系統(tǒng)仿真與調(diào)試.系統(tǒng)仿真圖7智能交通燈仿真圖當(dāng)接通電源時(shí),南北方向通行,開(kāi)始 30秒倒計(jì)時(shí),東西方向紅燈,南北方 向倒計(jì)時(shí)25秒后,亮黃燈,倒計(jì)時(shí)5秒后,南北

10、方向紅燈,東西方向綠燈。圖8接源顯通電示當(dāng)紅外光電開(kāi)關(guān)檢測(cè)車(chē)流量小于 20輛每分鐘時(shí),紅路燈倒計(jì)時(shí)30秒;當(dāng)紅 外光電開(kāi)關(guān)檢測(cè)車(chē)流量在20輛到30輛之間時(shí),紅路燈倒計(jì)時(shí)40秒;當(dāng)紅外光 電開(kāi)關(guān)檢測(cè)車(chē)流量大于30輛每分鐘時(shí),紅路燈倒計(jì)時(shí)50秒。圖9 50S倒計(jì)時(shí)當(dāng)按下S1時(shí),全部亮紅燈,此時(shí)是緊急模式,全路禁行,以處理緊急情況。圖10按下S1全路禁行當(dāng)按下S2時(shí),全部亮綠燈,以備需時(shí)之用圖11按下S2全路綠燈 當(dāng)按下S3時(shí),全部亮黃燈。圖12按下S3全路黃燈當(dāng)按下S4時(shí),此時(shí)可以測(cè)試車(chē)流量的數(shù)據(jù)。此時(shí)南北車(chē)道的車(chē)流量為 27輛 每分鐘。圖13按下S4車(chē)流量統(tǒng)計(jì)當(dāng)按下S5時(shí),南北通行,而東西禁行圖

11、14按下S5南北通行當(dāng)按下S6時(shí),東西通行,而南北禁行。7;霓r(nóng)乍圖15 按下S6東西通行3.首先在proteus繪制電路圖,繪制好電路圖,加載程序,紅綠燈顯示正常, 數(shù)碼管出現(xiàn)亂碼。圖16 電路調(diào)試檢查程序,重新加載,同樣是亂碼圖17電路調(diào)試檢查硬件,仔細(xì)觀(guān)察電路焊接,沒(méi)有發(fā)現(xiàn)明顯的線(xiàn)路問(wèn)題,用萬(wàn)用表測(cè)試, 經(jīng)過(guò)幾番測(cè)試,發(fā)現(xiàn)單片機(jī)的 P0.3 口脫焊,P0 口正是連接數(shù)碼管,改正過(guò)后再 測(cè)試,顯示正常。圖18 電路正常顯示總結(jié)與思考經(jīng)過(guò)幾個(gè)月的努力,智能交通燈基本完成了,在這次的實(shí)踐操作中,我學(xué)到 了很多書(shū)本少很難學(xué)到的知識(shí),在焊接電路板的時(shí)候遇到很多困難, 平時(shí)在書(shū)本 上看到的一個(gè)非常簡(jiǎn)

12、單的單片機(jī)在焊接的時(shí)候感覺(jué)很無(wú)力,對(duì)各個(gè)引腳的連接, 感覺(jué)很繁雜,一不小心不是接反了,就是脫焊了,當(dāng)然,經(jīng)過(guò)幾番撥弄,還是焊 接完成。當(dāng)然最頭疼的還是在程序方面,在經(jīng)過(guò)多次調(diào)試,多次修改,多次堅(jiān)持 下,勉強(qiáng)能正常顯示了。這次的項(xiàng)目,對(duì)我自身的能力提升很大,一個(gè)產(chǎn)品是需要經(jīng)過(guò)很大的過(guò)程才 能完成,需要查閱很多的資料才能開(kāi)始動(dòng)手, 對(duì)我是一個(gè)考驗(yàn),更是一個(gè)學(xué)習(xí)的 時(shí)機(jī)。這次的智能交通燈設(shè)計(jì)在我們的生活中有著廣泛的應(yīng)用前景,它成本低、 穩(wěn)定性高、安裝簡(jiǎn)便、抗干擾能力強(qiáng)、特別是聲光對(duì)它的影響都很小,非常適用 在交通路上。我相信,智能交通燈將很快運(yùn)用在各個(gè)交通路口。參考文獻(xiàn)1康光華.電子技術(shù)基礎(chǔ)數(shù)字部分

13、M.北京:高等教育出版社,2005:149-152,414-423.2康光華.電子技術(shù)基礎(chǔ)模擬部分M.北京:高等教育出版社,2005:54-90.3燕慶明.電路分析教程M.北京:高等教育出版社,2003: 62-86.4武俊鵬,孟昭林等.數(shù)字電路與可編程技術(shù)實(shí)驗(yàn)教程M.哈爾濱:哈爾濱工程大學(xué)出版社,2007: 348-352.5潘永雄,沙河.電子線(xiàn)路 CAD實(shí)用教程M.西安:西安電子科技大學(xué)出版社,2007: 14-126.6段大任,段里任.道路交通自動(dòng)控制M.北京:人民公安大學(xué)出版社,1999: 31-42.7任中民.交通燈數(shù)字控制系統(tǒng)的電路設(shè)計(jì)J.遼寧:遼寧省交通高等專(zhuān)科學(xué)校校報(bào),2005

14、, 03: 9-15.8王鼎湘,李茂軍.基于車(chē)流量的交通燈智能控制算法J.2015.6.9諸一琦,程欽,吳丹程,侯軍,朱靜.基于車(chē)流量的智能交通控制系統(tǒng)設(shè)計(jì)J.常州大學(xué)學(xué)報(bào).2013(4).10脫建智.基于IAP15F2K61S2單片機(jī)的數(shù)碼管動(dòng)態(tài)顯示器的研究與設(shè)計(jì)J.電子世界.2013(21).11 解榮康.智能交通系統(tǒng):中國(guó),CN201927178UP.2011.08.10.12脫建智.基于IAP15F2K61S2單片機(jī)的數(shù)碼管動(dòng)態(tài)顯示器的研究與設(shè)計(jì)J.電子世界.2013(21).13謝晨娟,李震.智能交通控制系統(tǒng)J.科技信息.2014(12).14付秀偉.大型路口智能交通燈設(shè)計(jì)J.河南科

15、技.2013(17).15何玲,吳恒玉,唐民麗.基于單片機(jī)的智能交通燈控制系統(tǒng)的研究與設(shè)計(jì)J.電子設(shè)計(jì)工程.2011(22).16李盛春,孔令江,劉慕仁,鄭容森. 智能交通燈對(duì)交叉路口交通流的影響 J.物理 學(xué)報(bào).2009(04)Intelligent traffic light designxxCollegeof Physics & Electronic EngineeringAbstract in modern society, traffic lights are an important part of the traffic system, and a lot of traffic

16、lights have some defects, the lights of a lot of time is fixed, reducing the efficiency of the traffic operation. This paper takes the middle and small city as the research object, combined with the single chip microcomputer application technology and traffic engineering foundation, the intelligent

17、traffic light system has been studied. In this paper, the traffic lights control system using STC89C51 MCU, the system collected in a certain period of time through the traffic, according to the size of the traffic flow and change the time of traffic lights. The system has the characteristics of str

18、ong anti-interference ability, low cost, intelligent control and so on.Key words traffic light Traffic detection The countdown Intelligent control附錄I系統(tǒng)原理圖,llC80.33uF2U5VinGND MC7812GNDHkC10 0.1uF+12VCC1GND MC7805GND2北90.1uF+5VIN IN 2N 3N 4N 5N 6N COMMONCRYSTAL110.0 18VCCR98由06曲R4Orowqboro -R3425025(

19、VCCXAL1n.3938373635 3419R3;R32R3.R281R2725025025089C田1.P1 F61.P1.41.P1J21.P1.020VSSEA RSTR3(R2甲 25J 250RST2122232,25262:IvccVCAL1P0P0ADADA0PDAD4DADAD7P2.PWP2A3A12P1!A/AP4A13p|DX|N3N4nT6WEDT40I VCCVCC20(20(:TT2-C4XTAL2ULVCCJI9R180.01u5.kIVCC 8IN 7N 6N 5N IN IN IN 1NCOMMONCLAMUOUTJ6DUOUOUOU2T11011 121

20、314151602.p2P2P2p2p2.6R25, 1VCC圖19系統(tǒng)總原理圖附錄II元器件安裝與焊接1 .元器件的安裝在電路板上安裝元器件,對(duì)元器件的擺放有一定的規(guī)則,整個(gè)電路板應(yīng)該整 潔美觀(guān),元器件有立式、貼式等放置方法,對(duì)于不同的元器件應(yīng)選擇不同的放置 方法,單片機(jī)、數(shù)碼管等原件應(yīng)貼緊電路板,三極管可以立放置在電路板上,露 出一定的引腳。對(duì)于電源、按鍵一般放置在電路板的邊緣部分, 整個(gè)元器件的安 裝應(yīng)有一定的計(jì)劃和分類(lèi),以方便各個(gè)引腳連接簡(jiǎn)便。2 .元器件的焊接焊接是電路板中重要的一部分,焊接的質(zhì)量直接影響整個(gè)系統(tǒng)的性能,因此, 必須有良好的焊接,在焊接時(shí)首先姿勢(shì)放好,檢查元器件是否緊

21、貼與整齊,先焊 接矮的元件,再焊接高的元件,對(duì)焊接的時(shí)間,焊量的多少都應(yīng)做好控制,一般 一個(gè)焊點(diǎn)的焊接時(shí)間在2秒左右,其次,在焊接完成時(shí),應(yīng)仔細(xì)檢查線(xiàn)路是否正 確,然后用萬(wàn)用表等工具檢測(cè)每條線(xiàn)路是否連通, 對(duì)不好的焊點(diǎn)重汗,確保整個(gè) 系統(tǒng)的質(zhì)量。/南北個(gè)位/南北十位/東西個(gè)位/東西十位/南北綠燈/ 南北紅燈南北黃燈/ 東西綠燈/ 東西紅燈東西黃燈附錄III程序設(shè)計(jì)1.程序設(shè)計(jì)#include#include#define uchar unsigned char#define uint unsigned int sbit smg3=P1A4;sbit smg4=P1A3;sbit smg1=P2

22、A4;sbit smg2=P2A3;sbit N_green=P2A7;sbit N_red=P2A5;sbit N_yellow=P2A6; /sbit W_green=P1A2;sbit W_red=P1A0;sbit W_yellow=P1A1; /sbit key1=P3A2; sbit key2=P3A7;/ sbit key3=P3A6;/ sbit key4=P3A3; sbit key5=P3A4; sbit key6=P3A5;/sbit ceju1=P1A6; / sbit ceju2=P1A5; /sbit speaker=P2A2; int js=0;uchar jis

23、hu1=0;/ uchar jishu2=0;/全部亮紅燈南北紅燈東西綠燈 南北綠燈東西紅燈全亮綠燈全部黃燈亮檢查鍵東西測(cè)距南北測(cè)距東西計(jì)數(shù) 南北計(jì)數(shù)uchar timeb=31;/南北通行時(shí)間uchar timew=31;/東西通行時(shí)間uchar flag1=0; /為0是南北通行為1是東西通行 uchar djs;uchar flag=0;/ 按下按鍵為1退出后為0uchar biao1=0;東西測(cè)距標(biāo)志uchar biao2=0;/南北測(cè)距標(biāo)志0x7f,0x7e;0x7f,0x7b;unsigned char code table1=0xfd,0x18,0x57,0x5e,0x3a,0x

24、6e,0x6f,0x58,/南北方向共陰極數(shù)碼管段值unsigned char code table2=0xf7,0x12,0x3d,0x3b,0x5a,0x6b,0x6f,0x32,/東西方向共陰極數(shù)碼管段值void delayms(int x)char i;while(x-)for(i=150;i0;i-);void Timer0_init()js=0;TMOD=0x01;/定義定時(shí)器0工作模式TH0=0x4c;/賦初值高位TL0=0x00;/賦初值低位TR0=1;/啟動(dòng)定時(shí)器ET0=1;/開(kāi)中斷EA=1;/開(kāi)全局中斷void led_lv()N_green=0; 南北綠燈N_red=1;

25、 /南北紅燈N_yellow=1; /南北黃燈W_green=0; /東西綠燈W_red=1; / 東西紅燈 W_yellow=1; /東西黃燈P0=0x00;void led_init()N_green=1; 南北綠燈N_red=1; /南北紅燈N_yellow=1; / 南北黃燈W_green=1; /東西綠燈W_red=1; /東西紅燈W_yellow=1; /東西黃燈P0=0x00; jishu1=0;jishu2=0;biao1=0;biao2=0; speaker=1;void condition_init()jishu1=0;jishu2=0;biao1=0;biao2=0;fl

26、ag1=0;speaker=1;djs=timeb;void timer0() interrupt 1 using 1TF0=0;TH0=0x4c;TL0=0x00;/重新賦高地位初值 js+;if(js=22)js=0;djs-;/*/void main()Timer0_init();/定時(shí)器0初始化TMOD=0x21; / 設(shè)置定時(shí)器1為工作方式2TH1=0xfd;TL1=0xfd;TR1=1;REN=1;SM0=0;SM1=1;EA=1;djs=timeb;while(1)if(flag1=0)/ 南北通行 if(!ceju1) speaker=0; else speaker=1;if(

27、!ceju2) 南北測(cè)車(chē)流量 if(biao2=0) jishu2+;biao2=1; else biao2=0; if(djs=7) 定義北向綠燈端口定義北向紅燈端口定義北向黃燈端口定義西向綠燈端口定義西向紅燈端口定義西向黃燈端口/定義北向綠燈端口N_green=0; /N_red=1;/N_yellow=1; W_green=1; / W_red=0;/W_yellow=1; else N_green=1; 22N_red=1;N_yellow=0; 定義西向綠燈端口/定義西向紅燈端口定義西向黃燈端口W_green=1; / W_red=0;W_yellow=1; P0=0X00;smg2

28、=1;smg3=1;smg4=1;P0=table2(djs-1)%10;smg1=0;delayms(3);P0=0X00;smg1=1;smg3=1;smg4=1;P0=table2(djs-1)/10;smg2=0;delayms(3);P0=0X00;smg1=1;smg2=1;smg4=1;P0=table1(djs-1)%10;smg3=0;delayms(3);P0=0X00;smg1=1;smg3=1;smg2=1;P0=table1(djs-1)/10;smg4=0;delayms(3);if(djs=0) if(jishu230)timeb=51;else if(jishu

29、2=20)timeb=41;else timeb=31;flag1=1;djs=timew; led_init();if(flag1=1)東西通行if(!ceju2)speaker=0;else speaker=1;if(!ceju1)if(biao1=0) jishu1+; biao1=1;elsebiao1=0;if(djs=7)N_green=1; / 定義北向綠燈端口N_red=0;/定義北向紅燈端口N_yellow=1;/定義北向黃燈端口/定義西向綠燈端口/定義西向紅燈端口/定義西向黃燈端口W_green=0;W_red=1;W_yellow=1; else28/定義北向綠燈端口/

30、定義北向紅燈端口定義北向黃燈端口/定義西向綠燈端口/定義西向紅燈端口/定義西向黃燈端口N_green=1;N_red=0;N_yellow=1; W_green=1;W_red=1;W_yellow=0;P0=0X00;smg2=1;smg3=1;smg4=1;P0=table2(djs-1)%10;smg1=0;delayms(3);P0=0X00;smg1=1;smg3=1;smg4=1;P0=table2(djs-1)/10;smg2=0;delayms(3);P0=0X00;smg1=1;smg2=1;smg4=1;P0=table1(djs-1)%10;smg3=0;delayms(

31、3);P0=0X00;smg1=1;smg3=1;smg2=1;P0=table1(djs-1)/10;smg4=0;delayms(3);if(djs=0)if(jishu130) timew=51;else if(jishu1=20) timew=41;else timew=31;flag1=0;djs=timeb;led_init();if(key1=0) /全亮紅燈 delayms(3); 此處表示出去抖動(dòng),if(key1=0) 再次判斷是否按下停止按鍵led_init();W_red=0;N_red=0; do while(!key1);/ 表示確實(shí)按下按鍵,松開(kāi)按鍵后先下執(zhí)行del

32、ayms(5); while(!key1); flag=1; while(flag=1) if(key1=0) delayms(3); if(key1=0) do while(!key1);/ 表示確實(shí)按下按鍵,松開(kāi)按鍵后先下執(zhí)行delayms(5); while(!key1);flag=0;led_init(); condition_init(); if(key2=0) /南北紅東西綠delayms(3);/此處表示出去抖動(dòng),if(key2=0)/再次判斷是否按下停止按鍵led_init();W_green=0;N_red=0; do while(!key2);/表示確實(shí)按下按鍵,松開(kāi)按鍵后

33、先下執(zhí)行delayms(5);while(!key2);flag=1; while(flag=1) if(key2=0) delayms(3); if(key2=0)dowhile(!key2);/ 表示確實(shí)按下按鍵,松開(kāi)按鍵后先下執(zhí)行delayms(5); while(!key2);flag=0;led_init();condition_init(); if(key3=0)/南北綠東西紅 delayms(3);/此處表示出去抖動(dòng),if(key3=0)/再次判斷是否按下停止按鍵 led_init(); N_green=0; W_red=0; do while(!key3);/ 表示確實(shí)按下按鍵

34、,松開(kāi)按鍵后先下執(zhí)行 delayms(5); while(!key3); flag=1; while(flag=1) if(key3=0) delayms(3); if(key3=0) do while(!key3);/ 表示確實(shí)按下按鍵,松開(kāi)按鍵后先下執(zhí)行delayms(5); while(!key3); flag=0; led_init(); condition_init(); if(key4=0)/全亮綠燈delayms(3);/此處表土一出去抖動(dòng),if(key4=0)/再次判斷是否按卜停止按鍵led_init();led_lv();dowhile(!key4);/表示確實(shí)按下按鍵,松開(kāi)按鍵后先下執(zhí)行delayms(5);while(!key4);flag=1;while(flag=1)if(key4=0)delayms(3);if(key4=0)dowhile(!key4);/表示確實(shí)按下按鍵,松開(kāi)按鍵后先下執(zhí)行delayms(5);while(!key4);flag=0;l

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論