版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、精選優(yōu)質(zhì)文檔-傾情為你奉上EDA實(shí)驗(yàn)報(bào)告 題 目: 交通燈設(shè)計(jì)學(xué) 院: 電子工程學(xué)院專 業(yè): 電子信息工程 作 者: 王正帥 導(dǎo) 師: 孫萬蓉 EDA實(shí)驗(yàn)報(bào)告:交通燈設(shè)計(jì) 一、設(shè)計(jì)任務(wù)及要求:設(shè)計(jì)任務(wù):模擬十字路口交通信號(hào)燈的工作過程,利用實(shí)驗(yàn)板上的兩組紅、黃、綠LED作為交通信號(hào)燈,設(shè)計(jì)一個(gè)交通信號(hào)燈控制器。要求:(1) 交通燈從綠變紅時(shí),有5秒黃燈亮的間隔時(shí)間;(2) 交通燈紅變綠是直接進(jìn)行的,沒有間隔時(shí)間;(3) 東西主干道上的綠燈時(shí)間為25秒,南北支干道的綠燈時(shí)間為25秒;(4) 在任意時(shí)間,顯示每個(gè)狀態(tài)到該狀態(tài)結(jié)束所需的時(shí)間。 路口示意圖如下:圖 1 路口交通示意圖表1 交通信號(hào)燈的
2、4種狀態(tài)ABC東西主干道交通燈綠(25秒)黃(5秒)紅(30秒)南北支干道交通燈紅(30秒)黃(5秒)綠(25秒)設(shè)計(jì)要求:(1) 采用VHDL語言編寫程序,并在QuartusII工具平臺(tái)中進(jìn)行仿真,下載到EDA實(shí)驗(yàn)箱進(jìn)行驗(yàn)證。(2) 編寫設(shè)計(jì)報(bào)告,要求包括方案選擇、程序清單、調(diào)試過程及測(cè)試結(jié)果。二、設(shè)計(jì)原理 1、設(shè)計(jì)目的:學(xué)習(xí)DEA開發(fā)軟件和QuartusII的使用方法,熟悉可編程邏輯器件的使用。通過制作來了解交通燈控制系統(tǒng),交通燈控制系統(tǒng)主要是實(shí)現(xiàn)城市十字交叉路口紅綠燈的控制2、設(shè)計(jì)說明(1)第一模塊:clk時(shí)鐘秒脈沖發(fā)生電路在紅綠燈交通信號(hào)系統(tǒng)中,大多數(shù)情況是通過自動(dòng)控制的方式指揮交通的
3、。因此為了避免意外事件的發(fā)生,電路必須給一個(gè)穩(wěn)定的時(shí)鐘(clock)才能讓系統(tǒng)正常運(yùn)作。模塊說明:系統(tǒng)輸入信號(hào): Clk: 由外接信號(hào)發(fā)生器提供50MHz的時(shí)鐘信號(hào); 系統(tǒng)輸出信號(hào): full:產(chǎn)生每秒一個(gè)脈沖的信號(hào);(2)第二模塊:計(jì)數(shù)秒數(shù)選擇電路計(jì)數(shù)電路最主要的功能就是記數(shù)負(fù)責(zé)顯示倒數(shù)的計(jì)數(shù)值,對(duì)下一個(gè)模塊提供狀態(tài)轉(zhuǎn)換信號(hào)。模塊說明:系統(tǒng)輸入:full: 接收由clk電路的提供的1HZ的時(shí)鐘脈沖信號(hào);系統(tǒng)輸出信號(hào): tm: 產(chǎn)生顯示電路狀態(tài)轉(zhuǎn)換信號(hào) tl:倒計(jì)數(shù)值 秒數(shù)個(gè)位變化控制信號(hào) th:倒計(jì)數(shù)值 秒數(shù)十位變化控制信號(hào)(3)第三模塊:紅綠燈狀態(tài)轉(zhuǎn)換電路 本電路負(fù)責(zé)紅綠燈的轉(zhuǎn)換。模塊說明
4、:系統(tǒng)輸入信號(hào):full: 接收由clk電路的提供的1Hz的時(shí)鐘脈沖信號(hào); tm: 接收計(jì)數(shù)秒數(shù)選擇電路狀態(tài)轉(zhuǎn)換信號(hào);系統(tǒng)輸出信號(hào): comb_out: 負(fù)責(zé)紅綠燈的狀態(tài)顯示。 (4)第四模塊:時(shí)間顯示電路本電路負(fù)責(zé)紅綠燈的計(jì)數(shù)時(shí)間的顯示。模塊說明:系統(tǒng)輸入信號(hào):tl:倒計(jì)數(shù)值 秒數(shù)個(gè)位變化控制信號(hào); th:倒計(jì)數(shù)值 秒數(shù)十位變化控制信號(hào);系統(tǒng)輸出信號(hào): led7s1: 負(fù)責(zé)紅綠燈的顯示秒數(shù)個(gè)位。 led7s2: 負(fù)責(zé)紅綠燈的顯示秒數(shù)十位。3、 設(shè)計(jì)方案定時(shí)時(shí)間到檢測(cè)電路計(jì)時(shí)器預(yù)置數(shù)產(chǎn)生電路狀態(tài)發(fā)生器(兩位二進(jìn)制計(jì)數(shù)器) 時(shí)間顯示數(shù)據(jù)輸出紅黃綠燈輸出控制電路(RYG) 紅黃綠燈信號(hào)輸出1秒時(shí)鐘
5、脈沖信號(hào)發(fā)生器 圖2 交通信號(hào)燈控制器的原理框圖采用VHDL語言輸入的方式實(shí)現(xiàn)交通信號(hào)燈控制器秒脈沖信號(hào)發(fā)生器(進(jìn)程P1和P2)狀態(tài)寄存器(進(jìn)程P6)計(jì)數(shù)器(進(jìn)程P3、P4和P5)CLK 時(shí)間顯示數(shù)據(jù)輸出 次態(tài)發(fā)生器信號(hào)燈輸出信號(hào)(進(jìn)程P7) 信號(hào)燈輸出 圖3 交通信號(hào)燈控制器程序原理框圖該程序由7個(gè)進(jìn)程組成,進(jìn)程P1和P2將CLK信號(hào)分頻后產(chǎn)生1秒信號(hào),進(jìn)程P3、P4、P5構(gòu)成兩個(gè)帶有預(yù)置數(shù)功能的十進(jìn)制計(jì)數(shù)器,其中P4產(chǎn)生允許十位計(jì)數(shù)器計(jì)數(shù)的控制信號(hào)。進(jìn)程P6實(shí)現(xiàn)狀態(tài)轉(zhuǎn)換和產(chǎn)生狀態(tài)轉(zhuǎn)換的控制信號(hào),進(jìn)程P7產(chǎn)生次態(tài)信號(hào)和信號(hào)燈輸出信號(hào),以及每一個(gè)狀態(tài)的時(shí)間值。四、程序清單:LIBRARY IE
6、EE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY traffic IS PORT (clk:in std_logic; led7s1:out std_logic_vector(6 downto 0); led7s2:out std_logic_vector(6 downto 0); comb_out:out std_logic_vector(5 downto 0); END;ARCHITECTURE one OF traffic ISTYPE dm IS (s0,s1,s2,s3); SIgnal cur
7、rent_state,next_state:dm; SIGNAL FULL : STD_LOGIC; SIGNAL tl :STD_LOGIC_VECTOR(6 DOWNTO 0); SIGNAL th:STD_LOGIC_VECTOR(1 DOWNTO 0); SIGNAL tm :STD_LOGIC_VECTOR(6 DOWNTO 0); SIGNAL TIME :STD_LOGIC_VECTOR(6 DOWNTO 0);BEGIN P_REG: PROCESS(CLK) VARIABLE CNT8:STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN IF CLKEVE
8、NT AND CLK=1 THEN IF CNT8 = THEN CNT8:=; FULL=1; ELSE CNT8 := CNT8+1; FULL = 0; END IF; END IF; END PROCESS P_REG;PROCESS(full)BEGINIF fullEVENT AND full=1 THEN IF TIME THEN TIME=TIME+1; ELSe TIME =; END IF;END IF;END PROCESS; REG:process( full,current_state) BEGIN IF full=1 AND fullEVENT THEN curre
9、nt_statecomb_out=;tm=39-time; if time=39 then next_state=s1; else next_statecomb_out=;tm=43-time; if time=43 then next_state=s2; else next_statecomb_out=;tm=63-time; if time=63 then next_state=s3; else next_statecomb_out=;tm=67-time; if time=67 then next_state=s0; else next_state=30 THEN th=11;tl=20
10、 THEN th=10;tl=10 THEN th=01;tl=tm-10;ELSE th=00;tlled7s1led7s1led7s1led7s1null; end case;case tl is when =led7s2led7s2led7s2led7s2led7s2led7s2led7s2led7s2led7s2led7s2null; end case; end process;end;五、電路及波形圖1.波形圖圖4 仿真波形圖2引腳設(shè)置選擇的器件為cyclone3系列的EP3C16F484C6芯片,引腳鎖定方法如下圖所示。將未使用的管腳設(shè)置為三態(tài)輸入(一定要設(shè)置,否則可能會(huì)損壞芯片)
11、。圖 5 引腳使用圖六、硬件測(cè)試及說明用實(shí)驗(yàn)板上的6個(gè)LED作為交通信號(hào)燈,設(shè)計(jì)一個(gè)交通信號(hào)燈控制器。1、 交通燈從綠變紅時(shí),有5秒黃燈亮的間隔時(shí)間;2、 交通燈紅變綠是直接進(jìn)行的,沒有間隔時(shí)間;3、紅燈時(shí)間為30秒。綠燈時(shí)間為25秒。黃燈時(shí)間為5秒。七、實(shí)驗(yàn)心得體會(huì) 通過這次課程設(shè)計(jì),我進(jìn)一步加深了對(duì)數(shù)字系統(tǒng)設(shè)計(jì)的了解。并進(jìn)一步熟練了對(duì)QuartusII軟件的操作。在編寫程序的過程中,遇到了很多問題,使我發(fā)現(xiàn)自己以前學(xué)習(xí)上存在的不足。同時(shí)也掌握了做課程設(shè)計(jì)的一般流程,為以后的設(shè)計(jì)積累了一定的經(jīng)驗(yàn)。做課程設(shè)計(jì)時(shí),先查閱相關(guān)知識(shí),把原理吃透,確定一個(gè)大的設(shè)計(jì)方向,在按照這個(gè)方向分模塊的把要實(shí)現(xiàn)的功能用流程圖的形式展示。最后參照每個(gè)模塊把輸入和輸出引腳設(shè)定
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 樁間擋板施工方案
- 網(wǎng)線施工方案
- 疫情安全生產(chǎn)專項(xiàng)施工方案
- 重慶扇形水塔美化施工方案
- 輸水隧洞錯(cuò)車道施工方案
- 鐵路設(shè)備檢修保養(yǎng)方案
- 診所裝修整改方案
- 落地腳手架施工方案
- 慶陽婚慶仿真樹施工方案
- 遼寧花卉玻璃溫室施工方案
- 冬春季呼吸道傳染病防控
- 中介費(fèi)合同范本(2025年)
- 《kdigo專家共識(shí):補(bǔ)體系統(tǒng)在腎臟疾病的作用》解讀
- 生產(chǎn)調(diào)度員崗位面試題及答案(經(jīng)典版)
- 【物 理】2024-2025學(xué)年八年級(jí)上冊(cè)物理寒假作業(yè)人教版
- 交通運(yùn)輸安全生產(chǎn)管理規(guī)范
- 電力行業(yè) 電力施工組織設(shè)計(jì)(施工方案)
- 《法制宣傳之盜竊罪》課件
- 通信工程單位勞動(dòng)合同
- 查對(duì)制度 課件
- 2024-2030年中國(guó)豬肉市場(chǎng)銷售規(guī)模及競(jìng)爭(zhēng)前景預(yù)測(cè)報(bào)告~
評(píng)論
0/150
提交評(píng)論