EDA課程設(shè)計(jì)報(bào)告--自動(dòng)售郵票的控制電路11_第1頁
EDA課程設(shè)計(jì)報(bào)告--自動(dòng)售郵票的控制電路11_第2頁
EDA課程設(shè)計(jì)報(bào)告--自動(dòng)售郵票的控制電路11_第3頁
EDA課程設(shè)計(jì)報(bào)告--自動(dòng)售郵票的控制電路11_第4頁
EDA課程設(shè)計(jì)報(bào)告--自動(dòng)售郵票的控制電路11_第5頁
已閱讀5頁,還剩12頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、 成 績 評(píng) 定 表學(xué)生姓名班級(jí)學(xué)號(hào)專 業(yè)電子信息工程課程設(shè)計(jì)題目自動(dòng)售郵票的控制電路評(píng)語組長簽字:成績?nèi)掌?2015 年 月 日課程設(shè)計(jì)任務(wù)書學(xué) 院信息科學(xué)與工程專 業(yè)電子信息工程學(xué)生姓名班級(jí)學(xué)號(hào)課程設(shè)計(jì)題目自動(dòng)售郵票的控制電路一、 內(nèi)容及要求: 利用所學(xué)的EDA設(shè)計(jì)方法設(shè)計(jì)自動(dòng)售郵票的控制電路,熟練使用使用QUARTUSII應(yīng)用軟件,進(jìn)一步學(xué)習(xí)使用VHDL語言、原理圖等EDA設(shè)計(jì)方法進(jìn)行綜合題目的方法。1.調(diào)試底層模塊,并時(shí)序仿真。2.設(shè)計(jì)頂層模塊,并時(shí)序仿真。3.撰寫課程設(shè)計(jì)報(bào)告.設(shè)計(jì)報(bào)告要求及格式見附件。二、功能要求:每次只能售出一枚郵票,當(dāng)所投硬幣達(dá)到或超過購買者所選面值時(shí),售出一枚

2、郵票,并找回剩余的硬幣,回到初始狀態(tài);當(dāng)所投硬幣值不足面值時(shí),可以通過一個(gè)復(fù)位鍵退回所投硬幣,回到初始狀態(tài)。三、進(jìn)度安排:課程設(shè)計(jì)時(shí)間為10天(2周) 1、調(diào)研、查資料1天。 2、總體方案設(shè)計(jì)2天。 3、代碼設(shè)計(jì)與調(diào)試5天。 4、撰寫報(bào)告1天。 5、驗(yàn)收1天。指導(dǎo)教師: 2015年 月 日專業(yè)負(fù)責(zé)人:2015年 月 日學(xué)院教學(xué)副院長:2015年 月 日沈陽理工大學(xué)EDA技術(shù)課程設(shè)計(jì)報(bào)告目 錄1 概述1 1.1 設(shè)計(jì)背景和意義2 1.2 設(shè)計(jì)任務(wù)3 1.3 設(shè)計(jì)要求42 原理設(shè)計(jì)及層次劃分52.1 工作原理62.2 層次劃分73 軟件設(shè)計(jì)83.1 XX模塊代碼設(shè)計(jì)93.2 XX模塊代碼設(shè)計(jì)104

3、 仿真及測試114.1 XX模塊仿真124.2 XX模塊仿真135 總結(jié)146 參考文獻(xiàn)151概述1.1 設(shè)計(jì)背景和意義 EDA技術(shù)是先進(jìn)的電子設(shè)計(jì)手段,隨著現(xiàn)代社會(huì)的發(fā)展,人類社會(huì)日趨走向一個(gè)物質(zhì)文明社會(huì)。伴隨著我國經(jīng)濟(jì)的迅速發(fā)展,人們生活水平日益提高,人們對(duì)各服務(wù)行業(yè)的自動(dòng)化程序要求也就越來越高,作為服務(wù)行業(yè)的自動(dòng)化裝置,已經(jīng)開始進(jìn)入到使用單位,自動(dòng)售貨機(jī)更是隨處可見極大程人們的生活1.2 設(shè)計(jì)任務(wù)用兩個(gè)發(fā)光二極管分別模擬售出面值為6角和8角的郵票,購買者可以通過開關(guān)選擇一種一種面值的郵票,燈亮表示郵票售出,用開關(guān)分別模擬1角、5角和1元硬幣投入,用發(fā)光二極管分別代表找回的剩余的硬幣,每次

4、只能售出一枚郵票,當(dāng)所投硬幣達(dá)到或超過購買者所選面值時(shí),售出一枚郵票,并找回剩余的硬幣,回到初始狀態(tài);當(dāng)所投硬幣值不足面值時(shí),可以通過一個(gè)復(fù)位鍵退回所投硬幣,回到初始狀態(tài)。1.3 設(shè)計(jì)要求利用所學(xué)的EDA設(shè)計(jì)方法設(shè)計(jì)自動(dòng)售郵票的控制電路,熟練使用使用QUARTUSII應(yīng)用軟件,進(jìn)一步學(xué)習(xí)使用VHDL語言、原理圖等EDA設(shè)計(jì)方法進(jìn)行綜合題目的方法。1.調(diào)試底層模塊,并時(shí)序仿真。2.設(shè)計(jì)頂層模塊,并時(shí)序仿真。3.撰寫課程設(shè)計(jì)報(bào)告.設(shè)計(jì)報(bào)告要求及格式見附件。2 原理設(shè)計(jì)及層次劃分2.1 工作原理利用狀態(tài)機(jī)模擬輸入累加的錢的總數(shù),通過判決電路對(duì)輸入的錢的總值進(jìn)行判斷,根據(jù)判斷結(jié)果做出售票、找零、復(fù)位等

5、相應(yīng)的動(dòng)作。而對(duì)狀態(tài)機(jī)得應(yīng)用應(yīng)注意其設(shè)計(jì)步驟:a 邏輯抽象。分析給定的邏輯問題,搞清楚輸入和輸出,通常取原因或者條件為輸入,結(jié)果為輸出。然后定義輸入輸出邏輯狀態(tài)和每個(gè)電路狀態(tài)的含義,并對(duì)電路各個(gè)狀態(tài)進(jìn)行排序和它們之間的轉(zhuǎn)換關(guān)系搞清楚。這個(gè)過程非常需要嚴(yán)謹(jǐn)務(wù)實(shí)的作風(fēng),因?yàn)槎x電路的狀態(tài)的優(yōu)劣會(huì)影響你的整個(gè)設(shè)計(jì)。如果大方向都沒有搞好,接下來的設(shè)計(jì)會(huì)變得艱難,甚至走入死角。到時(shí)候又回過頭來重新定義分析。 b 通過從實(shí)際問題分析出來的時(shí)序問題,通過畫出狀態(tài)圖一目了然搞清楚它們之間的轉(zhuǎn)換關(guān)系。并對(duì)狀態(tài)圖進(jìn)行化簡優(yōu)化。對(duì)在相同的輸入下有相同輸出,并轉(zhuǎn)換到同樣一個(gè)次態(tài)的。要進(jìn)行合并,這樣設(shè)計(jì)出來的狀態(tài)機(jī)會(huì)更

6、簡單,高效。2.2 層次劃分找零判決電路脈沖發(fā)生器復(fù)位電路輸入電路 售出郵票利用狀態(tài)機(jī),分別模擬所輸入的錢的累積值,根據(jù)輸入的錢的面值的不同,進(jìn)入不同的次態(tài),在各個(gè)不同的狀態(tài)下,又通過比較所輸入的錢的總值與所選郵票的面值,做出售出郵票并找出相應(yīng)的零錢。在下述實(shí)驗(yàn)原理中,進(jìn)程一為脈沖發(fā)生電路,不斷的通過脈沖變化來掃描是否有相應(yīng)的輸入。進(jìn)程二是狀態(tài)轉(zhuǎn)換,將次態(tài)的值重新付給現(xiàn)態(tài),不斷的改變現(xiàn)有狀態(tài)。進(jìn)程三中,首先判斷了是選擇的何種面值的郵票,而且在復(fù)位信號(hào)沒有被按下的情況下對(duì)所售面值為六毛的郵票進(jìn)行了分析處理,從剛開始輸入為零時(shí),根據(jù)輸入不同的面值的錢來判斷下一個(gè)狀態(tài)是什么,并且對(duì)在每個(gè)相應(yīng)狀態(tài)下應(yīng)

7、該做什么進(jìn)行了指明,例如如果剛開始輸入了一毛,則由初始狀態(tài)轉(zhuǎn)到輸入了一毛的這個(gè)狀態(tài),如果此時(shí)繼續(xù)輸入錢,根據(jù)輸入的面值進(jìn)入下一個(gè)對(duì)應(yīng)狀態(tài),而如果此時(shí)按下復(fù)位信號(hào),則找出這一毛錢,當(dāng)然這時(shí)是不會(huì)售出郵票的,同時(shí)現(xiàn)態(tài)恢復(fù)為初始狀態(tài)在選購六毛郵票的情況下,設(shè)投幣初始狀態(tài)為ST0,如果投入一枚一毛硬幣為(累積一毛)ST1, 如果投入一枚五毛硬幣為(累積五毛)ST5,如果投入一枚一元硬幣則輸出一枚郵票并且找零四毛且次態(tài)重新定義為初始狀態(tài)ST0;在ST1狀態(tài)下如果再次輸入一枚一毛硬幣(累計(jì)兩毛)為ST2,如果投入一枚五毛硬幣(累計(jì)六毛)則輸出一枚郵票且次態(tài)重新定義為初始狀態(tài)ST0,如果投入一枚一元硬幣(累

8、計(jì)一塊一)則輸出一枚郵票并且找零五毛且次態(tài)重新定義為初始狀態(tài)ST0;在ST2狀態(tài)下如果再次輸入一枚一毛硬幣(累計(jì)三毛)為ST3,如果投入一枚五毛硬幣(累計(jì)七毛)則輸出一枚郵票并且找零一毛且次態(tài)重新定義為初始狀態(tài)ST0,如果投入一枚一元硬幣(累計(jì)一塊二)則輸出一枚郵票并且找零六毛且次態(tài)重新定義為初始狀態(tài)ST0;在ST3狀態(tài)下如果再次輸入一枚一毛硬幣(累計(jì)四毛)為ST4,如果投入一枚五毛硬幣(累計(jì)八毛)則輸出一枚郵票并且找零兩毛且次態(tài)重新定義為初始狀態(tài)ST0,如果投入一枚一元硬幣(累計(jì)一塊三)則輸出一枚郵票并且找零七毛且次態(tài)重新定義為初始狀態(tài)ST0;在ST4狀態(tài)下如果再次輸入一枚一毛硬幣(累計(jì)五毛

9、)為ST5,如果投入一枚五毛硬幣(累計(jì)九毛)則輸出一枚郵票并且找零三毛且次態(tài)重新定義為初始狀態(tài)ST0,如果投入一枚一元硬幣(累計(jì)一塊四)則輸出一枚郵票并且找零八毛且次態(tài)重新定義為初始狀態(tài)ST0;在ST5狀態(tài)下如果再次輸入一枚一毛硬幣(累計(jì)六毛)則輸出一枚郵票并且態(tài)重新定義為初始狀態(tài)ST0,如果投入一枚五毛硬幣(累計(jì)一元)則輸出一枚郵票并且找零四毛且次態(tài)重新定義為初始狀態(tài)ST0,如果投入一枚一元硬幣(累計(jì)一塊五)則輸出一枚郵票并且找零九毛且次態(tài)重新定義為初始狀態(tài)ST0。如果選擇的郵票面值為八毛,七基本原理和六毛面值郵票的一樣,在這里就不贅述了。如果在累計(jì)錢數(shù)小于郵票面值的情況下按下復(fù)位鍵,這時(shí)找

10、零端口的輸出為此時(shí)的錢的總和,能夠?qū)崿F(xiàn)退錢是通過在每個(gè)狀態(tài)下定義了兩個(gè)找零信號(hào),在為按復(fù)位鍵的情況下是將信號(hào)SIG_ZHAO賦值給找零信號(hào)端口,而在按下復(fù)位鍵的情況下,找零信號(hào)端口的輸出為ZHAORE這個(gè)信號(hào)的值,而這兩個(gè)信號(hào)的值在不同狀態(tài)下有相應(yīng)的不同的值,根據(jù)以上思路我們便可以進(jìn)行程序的編寫了。3 軟件設(shè)計(jì)4代碼描述 LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY ZIDONG ISPORT ( RESET : IN STD_LOGIC; -復(fù)位信號(hào)CLK,LIU,BA : IN

11、 STD_LOGIC; -INYM,INWM,INYY : IN STD_LOGIC; -錢輸入端口YOU : OUT STD_LOGIC; -郵票出口ZHAO : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); -找錢出口END ZIDONG;ARCHITECTURE BEHAV OF ZIDONG ISTYPE STATES IS (ST0,ST1,ST2,ST3,ST4,ST5,ST6,ST7);-狀態(tài)定義SIGNAL YIMAO,WUMAO,YIKUAI : STD_LOGIC; -錢輸入信號(hào)SIGNAL SIG_YOU : STD_LOGIC;-輸出對(duì)應(yīng)信號(hào)SIG

12、NAL SIG_ZHAO : STD_LOGIC_VECTOR(3 DOWNTO 0);-找零對(duì)應(yīng)信號(hào)SIGNAL ZHAORE :STD_LOGIC_VECTOR(3 DOWNTO 0);-復(fù)位時(shí)的找零SIGNAL CURRENT_STATE : STATES;-現(xiàn)態(tài)SIGNAL NEXT_STATE : STATES;-次態(tài)BEGINP1 :PROCESS(CLK) -將輸入送相應(yīng)的信號(hào)BEGINIF CLKEVENT AND CLK=1 THENYIMAO = INYM;WUMAO = INWM;YIKUAI =INYY;END IF;END PROCESS P1;p2 :PROCESS

13、(RESET,CLK)-次態(tài)給現(xiàn)態(tài)BEGINIF RESET = 1 THEN CURRENT_STATE = ST0;ELSIF CLKEVENT AND CLK=1 THENCURRENT_STATE = NEXT_STATE;END IF;END PROCESS p2;p3 :PROCESS(CLK,CURRENT_STATE,NEXT_STATE,YIMAO,WUMAO,YIKUAI)-狀態(tài)轉(zhuǎn)換BEGINIF RESET =1 THEN SIG_ZHAO=ZHAORE;NEXT_STATE ZHAORE=0000; -狀態(tài)S0IF YIMAO = 1 THEN -輸入1毛 SIG_YO

14、U = 0;-不出郵票 SIG_ZHAO = 0000;-不找錢 NEXT_STATE = ST1;-次態(tài)為ST1 ELSIF WUMAO = 1 THEN-輸入5毛 SIG_YOU = 0;-不出郵票SIG_ZHAO = 0000;-不找錢NEXT_STATE = ST5;-次態(tài)為ST5ELSIF YIKUAI =1 THEN -輸入1塊SIG_YOU = 1;-出郵票SIG_ZHAO = 0100;-找4毛NEXT_STATE = ST0; -次態(tài)為ST0ELSESIG_YOU = 0;SIG_ZHAO = 0000;-不輸入 都不變NEXT_STATE ZHAORE=0001 ;IF Y

15、IMAO = 1 THENSIG_YOU = 0;SIG_ZHAO = 0000;NEXT_STATE = ST2;ELSIF WUMAO = 1 THENSIG_YOU = 1;SIG_ZHAO = 0000;NEXT_STATE = ST0;ELSIF YIKUAI = 1 THENSIG_YOU = 1;SIG_ZHAO = 0101;NEXT_STATE = ST0;ELSESIG_YOU = 0;SIG_ZHAO = 0000;NEXT_STATE ZHAORE=0010;IF YIMAO = 1 THENSIG_YOU = 0;SIG_ZHAO = 0000;NEXT_STATE

16、= ST3;ELSIF WUMAO = 1 THENSIG_YOU = 1;SIG_ZHAO = 0001;NEXT_STATE = ST0;ELSIF YIKUAI = 1 THENSIG_YOU = 1;SIG_ZHAO = 0110;NEXT_STATE = ST0;ELSESIG_YOU = 0;SIG_ZHAO = 0000;NEXT_STATE ZHAORE=0011;IF YIMAO = 1 THENSIG_YOU = 0;SIG_ZHAO = 0000;NEXT_STATE = ST4;ELSIF WUMAO= 1 THENSIG_YOU = 1;SIG_ZHAO = 0010

17、;NEXT_STATE = ST0;ELSIF YIKUAI= 1 THENSIG_YOU = 1;SIG_ZHAO = 0111;NEXT_STATE = ST0;ELSESIG_YOU = 0;SIG_ZHAO = 0000;NEXT_STATE ZHAORE=0100; IF YIMAO = 1 THENSIG_YOU = 0;SIG_ZHAO = 0000;NEXT_STATE = ST5;ELSIF WUMAO= 1 THENSIG_YOU = 1;SIG_ZHAO = 0011;NEXT_STATE = ST0;ELSIF YIKUAI= 1 THENSIG_YOU = 1;SIG

18、_ZHAO = 1000;NEXT_STATE = ST0;ELSESIG_YOU = 0;SIG_ZHAO = 0000;NEXT_STATE ZHAORE=0101;IF YIMAO = 1 THENSIG_YOU = 1;SIG_ZHAO = 0000;NEXT_STATE = ST0;ELSIF WUMAO= 1 THENSIG_YOU = 1;SIG_ZHAO = 0100;NEXT_STATE = ST0;ELSIF YIKUAI= 1 THENSIG_YOU = 1;SIG_ZHAO = 1001;NEXT_STATE = ST0;ELSESIG_YOU = 0;SIG_ZHAO

19、 = 0000;NEXT_STATE NULL;-其他狀態(tài) 空操作END CASE;ELSIF BA=1THEN-選擇8毛的郵票CASE CURRENT_STATE ISWHEN ST0 = ZHAORE=0000; -狀態(tài)S0IF YIMAO = 1 THEN -輸入1毛 SIG_YOU = 0;-不出郵票 SIG_ZHAO = 0000;-不找錢 NEXT_STATE = ST1;-次態(tài)為ST1 ELSIF WUMAO = 1 THEN-輸入5毛 SIG_YOU = 0;-不出郵票SIG_ZHAO = 0000;-不找錢NEXT_STATE = ST5;-次態(tài)為ST5ELSIF YIKUA

20、I =1 THEN -輸入1塊SIG_YOU = 1;-出郵票SIG_ZHAO = 0010;-找2毛NEXT_STATE = ST0; -次態(tài)為ST0ELSESIG_YOU = 0;SIG_ZHAO = 0000;-不輸入 都不變NEXT_STATE ZHAORE=0001 ;IF YIMAO = 1 THENSIG_YOU = 0;SIG_ZHAO = 0000;NEXT_STATE = ST2;ELSIF WUMAO = 1 THENSIG_YOU = 0;SIG_ZHAO = 0000;NEXT_STATE = ST6;ELSIF YIKUAI = 1 THENSIG_YOU = 1;

21、SIG_ZHAO = 0011;NEXT_STATE = ST0;ELSESIG_YOU = 0;SIG_ZHAO = 0000;NEXT_STATE ZHAORE=0010;IF YIMAO = 1 THENSIG_YOU = 0;SIG_ZHAO = 0000;NEXT_STATE = ST3;ELSIF WUMAO = 1 THENSIG_YOU = 0;SIG_ZHAO = 0000;NEXT_STATE = ST7;ELSIF YIKUAI = 1 THENSIG_YOU = 1;SIG_ZHAO = 0100;NEXT_STATE = ST0;ELSESIG_YOU = 0;SIG

22、_ZHAO = 0000;NEXT_STATE ZHAORE=0011;IF YIMAO = 1 THENSIG_YOU = 0;SIG_ZHAO = 0000;NEXT_STATE = ST4;ELSIF WUMAO= 1 THENSIG_YOU = 1;SIG_ZHAO = 0000;NEXT_STATE = ST0;ELSIF YIKUAI= 1 THENSIG_YOU = 1;SIG_ZHAO = 0101;NEXT_STATE = ST0;ELSESIG_YOU = 0;SIG_ZHAO = 0000;NEXT_STATE ZHAORE=0100; IF YIMAO = 1 THEN

23、SIG_YOU = 0;SIG_ZHAO = 0000;NEXT_STATE = ST5;ELSIF WUMAO= 1 THENSIG_YOU = 1;SIG_ZHAO = 0001;NEXT_STATE = ST0;ELSIF YIKUAI= 1 THENSIG_YOU = 1;SIG_ZHAO = 0110;NEXT_STATE = ST0;ELSESIG_YOU = 0;SIG_ZHAO = 0000;NEXT_STATE ZHAORE=0101;IF YIMAO = 1 THENSIG_YOU = 0;SIG_ZHAO = 0000;NEXT_STATE = ST6;ELSIF WUM

24、AO= 1 THENSIG_YOU = 1;SIG_ZHAO = 0010;NEXT_STATE = ST0;ELSIF YIKUAI= 1 THENSIG_YOU = 1;SIG_ZHAO = 0111;NEXT_STATE = ST0;ELSESIG_YOU = 0;SIG_ZHAO = 0000;NEXT_STATE ZHAORE=0110;IF YIMAO = 1 THENSIG_YOU = 0;SIG_ZHAO = 0000;NEXT_STATE = ST7;ELSIF WUMAO= 1 THENSIG_YOU = 1;SIG_ZHAO = 0011;NEXT_STATE = ST0;ELSIF YIKUAI= 1 THENSIG_YOU = 1;SIG_ZHAO = 1000;NEXT_STATE = ST0;ELSESIG_YOU = 0;SIG_ZHAO = 0000;NEXT_STATE ZHAORE=0111;IF YIMAO = 1 THENSIG_YOU = 1;SIG_ZHAO = 0000;NEXT_STATE = ST0;ELSIF WUMAO= 1 THENSIG_YOU = 1;SIG_ZHAO = 0100;NEXT_STATE = ST0;ELS

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論