電子設(shè)計競賽論文_簡易數(shù)控直流穩(wěn)壓電源設(shè)計說明_第1頁
電子設(shè)計競賽論文_簡易數(shù)控直流穩(wěn)壓電源設(shè)計說明_第2頁
電子設(shè)計競賽論文_簡易數(shù)控直流穩(wěn)壓電源設(shè)計說明_第3頁
電子設(shè)計競賽論文_簡易數(shù)控直流穩(wěn)壓電源設(shè)計說明_第4頁
電子設(shè)計競賽論文_簡易數(shù)控直流穩(wěn)壓電源設(shè)計說明_第5頁
已閱讀5頁,還剩56頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、 . PAGE56 / NUMPAGES61電子設(shè)計大賽論文簡易數(shù)控直流穩(wěn)壓電源設(shè)計題目名稱簡易數(shù)控直流穩(wěn)壓電源設(shè)計學(xué)院專業(yè)指導(dǎo)教師設(shè)計要求:(1)輸出電壓:圍 09.9V,步進 0.1V,紋波不大于 10mV;(2)輸出電流:500mA;(3)輸出電壓值由數(shù)碼管顯示;(4)由“”、“”兩鍵分別控制輸出電壓步進增減;(5)為實現(xiàn)上述幾部件工作,自制一穩(wěn)壓直流電源,輸出10V,5V。提高要求:(1)輸出電壓可預(yù)置在 09.9V 之間的任意一個值;(2)用自動掃描代替人工按鍵,實現(xiàn)輸出電壓變化(步進 0.1V 不變);(3)擴展輸出電壓種類(比如三角波等)。比賽工作計劃: (1)查閱資料,設(shè)計硬件

2、電路;(4.17-4.20) (2)硬件電路焊接; (4.21-4.23) (3)整機調(diào)試,編寫設(shè)計報告(4.24-4.29)參考書籍:1單片微機原理與應(yīng)用,第二版,機械工業(yè),2004年2月。2C8051F系列單片機原理與應(yīng)用,培仁,力,清華大學(xué),2013年1月第一版。3C程序設(shè)計,譚浩強,第三版,清華大學(xué),2005年7月第二版。參考文獻:1何希才,明莉。新趔穩(wěn)壓電源與應(yīng)用實例M。:電子工業(yè)20042王小明,盧志強?;赟TC89C52數(shù)控直流電源設(shè)計。微計算機信息,2009,12。3何香玲,鄧剛。數(shù)控式步進可調(diào)穩(wěn)壓源J?,F(xiàn)代電子技術(shù),2007。4蘭波。數(shù)控直流電源的研究J。北方學(xué)院學(xué)報:自然

3、科學(xué)版,2009。項目組成員姓 名學(xué) 號專業(yè)/班級所在學(xué)院項目中的分工摘 要數(shù)控直流穩(wěn)壓電源是電子技術(shù)常用的設(shè)備之一,廣泛的應(yīng)用于教學(xué)、科研等領(lǐng)域。本課題以單片機為控制核心,進行算法控制和集成運放線性負反饋,并通過7219驅(qū)動四位顯示器進行精確顯示,設(shè)計并實現(xiàn)了一臺高精度、低噪聲的數(shù)控直流電流源。 該穩(wěn)壓電源由供電電源、數(shù)控系統(tǒng)、模擬輸出三個部分組成。供電電源采用MC7815和MC7915穩(wěn)壓器,通過橋式整流電路,為整機提供了穩(wěn)定的直流供電;控制系統(tǒng)以單片機C8051F020為核心,其部的12位DAC轉(zhuǎn)換器產(chǎn)生控制輸出,實現(xiàn)了輸出電流的實時數(shù)控和精確檢測。模擬部分利用集成運放繼電器等模塊實現(xiàn)不

4、同波形的輸出;系統(tǒng)還設(shè)置了串口通訊、遙控功能。經(jīng)測試,輸出電壓圍達09.9V,輸出紋波與噪聲小于10mV,均達到題目指標(biāo)。論文闡明了軟硬件設(shè)計依據(jù),給出了系統(tǒng)功能和性能測試結(jié)果,并附錄了詳細的設(shè)計資料。關(guān)鍵詞:恒壓源 集成運放 7219驅(qū)動器單片機 實時數(shù)控 目 錄 TOC o 2-2 h z t 標(biāo)題 1,1 HYPERLINK l _Toc354988465 第 1 章 方案論證與原理設(shè)計 PAGEREF _Toc354988465 h 1 HYPERLINK l _Toc354988466 1.1模擬輸出方案 PAGEREF _Toc354988466 h 1 HYPERLINK l _

5、Toc354988467 1.2供電電源方案 PAGEREF _Toc354988467 h 1 HYPERLINK l _Toc354988468 1.3控制系統(tǒng)方案 PAGEREF _Toc354988468 h 2 HYPERLINK l _Toc354988469 1.4整機方案框圖 PAGEREF _Toc354988469 h 2 HYPERLINK l _Toc354988470 第 2 章電路設(shè)計與參數(shù)論證 PAGEREF _Toc354988470 h 3 HYPERLINK l _Toc354988471 2.1供電電源(15V) PAGEREF _Toc354988471

6、 h 3 HYPERLINK l _Toc354988472 2.25V供電電源 PAGEREF _Toc354988472 h 5 HYPERLINK l _Toc354988473 2.3數(shù)控電路 PAGEREF _Toc354988473 h 5 HYPERLINK l _Toc354988474 2.4模擬輸出電路與A/D校準 PAGEREF _Toc354988474 h 7 HYPERLINK l _Toc354988475 2.5驅(qū)動數(shù)碼管顯示電路 PAGEREF _Toc354988475 h 10 HYPERLINK l _Toc354988476 第 3 章系統(tǒng)功能與軟件設(shè)

7、計 PAGEREF _Toc354988476 h 11 HYPERLINK l _Toc354988477 3.1系統(tǒng)功能分析 PAGEREF _Toc354988477 h 11 HYPERLINK l _Toc354988478 3.2軟件設(shè)計結(jié)構(gòu) PAGEREF _Toc354988478 h 12 HYPERLINK l _Toc354988479 第 4 章功能與性能測試 PAGEREF _Toc354988479 h 16 HYPERLINK l _Toc354988480 4.1測試條件 PAGEREF _Toc354988480 h 16 HYPERLINK l _Toc35

8、4988481 4.2整機調(diào)試 PAGEREF _Toc354988481 h 16 HYPERLINK l _Toc354988482 4.3系統(tǒng)性能測試 PAGEREF _Toc354988482 h 16 HYPERLINK l _Toc354988483 4.4性能參數(shù)測試 PAGEREF _Toc354988483 h 17 HYPERLINK l _Toc354988484 第 5 章設(shè)計總結(jié)與技術(shù)展望 PAGEREF _Toc354988484 h 21 HYPERLINK l _Toc354988485 參考資料 PAGEREF _Toc354988485 h 23 HYPER

9、LINK l _Toc354988486 附錄 PAGEREF _Toc354988486 h 23 HYPERLINK l _Toc354988487 附錄一測試儀器清單 PAGEREF _Toc354988487 h 23 HYPERLINK l _Toc354988488 附錄二原理電路圖 PAGEREF _Toc354988488 h 23 HYPERLINK l _Toc354988489 附錄三元器件清單 PAGEREF _Toc354988489 h 24 HYPERLINK l _Toc354988490 附錄四單片機程序 PAGEREF _Toc354988490 h 25數(shù)

10、控直流穩(wěn)壓電源是輸出為穩(wěn)定直流電壓、并可用數(shù)控方式調(diào)節(jié)和穩(wěn)定輸出電壓的電源設(shè)備,在對工作電壓穩(wěn)定度、紋波電壓大小等有較高要求的領(lǐng)域具有廣泛的應(yīng)用,如:電鍍、精密加工、激光器等。為此我們選擇了簡易數(shù)控直流穩(wěn)壓電源設(shè)計作為此次競賽的課題。方案論證與原理設(shè)計模擬輸出方案模擬輸出部分是數(shù)控直流穩(wěn)壓電源的重要組成部分,是決定各項設(shè)計指標(biāo)的關(guān)鍵性因素。方案選擇模擬部分,通過給功放供電,功放構(gòu)成反相器、反相相加器、反相發(fā)大器,積分器分別實現(xiàn)反相、反相相加、放大、積分等功能,結(jié)合繼電器的控制,分別輸出方波、三角波和直流,并反饋給單片機,用A/D采樣并校準。同時,利用OP547輸出穩(wěn)定的500mA直流電流。參數(shù)

11、優(yōu)化設(shè)計由于本題要求的輸出電壓精度高,紋波小。因此我們必須考慮保證這些質(zhì)量參數(shù)的優(yōu)化方案。 紋波與噪聲控制最大紋波電壓是在設(shè)定的直流電壓的情況下,使電壓的紋波(包過噪聲)的絕對值大小,通常以峰峰值或有效值表示。所以在設(shè)計時充分考慮這些因素,在電路中必要部分加入多種降噪濾波措施。安全保護功能安全保護主要用于輸出電流較大而負載阻值較小時。因此在設(shè)計電路時考慮了系統(tǒng)的過熱、過流、過壓和反壓保護。供電電源方案供電電源一般有開關(guān)電源、線性電源兩種方案。開關(guān)電源這種電源主要提供可靠穩(wěn)定的電壓類似開關(guān)式恒流源,功率損耗小,效率高,比較經(jīng)濟,但電壓波紋大,難以濾除,會對后續(xù)電路造成影響。線性電源這種電源也提供

12、可靠穩(wěn)定的電壓,結(jié)構(gòu)簡單,紋波電壓?。?0mV以下),易濾除,抗電磁干擾性能和電源純凈性好??刂葡到y(tǒng)方案控制系統(tǒng)功能接收取樣信號,進行運算處理,并發(fā)出控制信號控制負載電流,而且有相應(yīng)顯示和寫入控制字等功能。常用的實現(xiàn)方案有硬件邏輯電路、單片機軟件編程和CPLD硬件邏輯編程。硬件邏輯電路結(jié)構(gòu)簡單,實現(xiàn)方便,但功能擴展性不強;單片機系統(tǒng)通過自身時鐘編程產(chǎn)生邏輯信號序列,可鍵盤預(yù)置信號序列狀態(tài)與數(shù)碼顯示,使用靈活方便,可通過軟件升級達到系統(tǒng)升級,開發(fā)容易,性價比高;CPLD電路也可實現(xiàn)以上功能,且處理速度更快,但價格偏貴,開發(fā)難度大,多用于高速實時系統(tǒng)。所以選用單片機作為控制系統(tǒng)的的核心接收取樣信號

13、對其處理并發(fā)出控制信號控制負載電流。其他外圍電路還有LED顯示、輸入鍵盤、時鐘信號。 整機方案框圖 由以上分析可得出整機電路的基本結(jié)構(gòu)方案框圖如圖11所示。圖 STYLEREF 1 s 1 SEQ 圖 * ARABIC s 11 數(shù)控直流穩(wěn)壓電源框圖電路設(shè)計與參數(shù)論證通過前一章的分析,欲獲得一個高性能的數(shù)控直流電流源 ,主要是設(shè)計好調(diào)整器電路,放大電路,反饋控制電路,電源電路。 本章詳細的介紹了各部分功能電路的設(shè)計思想和原理。供電電源(15V)整機供電電源原理電路如圖22所示。線性穩(wěn)壓電源電路主要由橋式整流電路、濾波電路、線性穩(wěn)壓器、保護電路和工作指示電路(LED燈)組成。經(jīng)降壓變壓器變換后的

14、15V交流電壓由P2端口輸入,經(jīng)過整流、濾波和穩(wěn)壓后,由P1端口出端15V直流電壓,為信號處理電路供電。圖2-1 線性15V穩(wěn)壓電源電路原理圖穩(wěn)壓器選擇根據(jù)所選運算放大器OP07的特性(運算放大器的選擇依據(jù)將在信號處理電路元件選擇中給出),需要提供直流電電壓。根據(jù)信號處理電路原理圖和系統(tǒng)方案框圖,計算得信號處理電路所需的電流約為1A(后面將給出詳細計算過程)。所以穩(wěn)壓器選擇MC7815和MC7915。表2-1列出了MC7815和MC7915的部分參數(shù)。表2-1 穩(wěn)壓器部分參數(shù)型號輸出/V(典型值)輸出電流/A輸入圍/V最小壓差/V測試條件Ui(v)Io(mA)MC7815AC151.01.21

15、7.9302231000MC7915AC-151.01.2-17.9-302-23500變壓器選擇因為運放供電需15V電壓,而穩(wěn)壓器輸入輸出電壓差要求不小于3V,所以此處整流電路電壓輸入處取18V。若設(shè)橋式整流電路輸入電壓為U1 QUOTE ,輸出電壓為U2,則在一般情況下(負載,濾波電容), QUOTE U2估算值為: (2-1)故當(dāng) QUOTE U1=15V時,整流電路輸入為。所以選取220V輸入15V電壓輸出的變壓器。整流二極管選擇橋式整流電路輸入15電壓,即輸入電壓U=30V。二極管擊穿電壓。對于橋式整流電路,流過每個二極管的平均電流是負載電流的一半,即 (2-2)式中(18V)為整流

16、電路輸入電壓,為其負載。線性穩(wěn)壓電源的負載主要由信號處理系統(tǒng)中的運放構(gòu)成,運放OP07工作電流小于10mA,系統(tǒng)中共5個運放,故整流電路輸出電流I0=26mA(考慮其他負載估算輸出最大電流)。穩(wěn)壓電路輸出電壓U0=30V(15V),故負載估算值為RL=1.2k。故流過二極管電流為18mA。所以選擇1N4001二極管,其反相擊穿電壓為50V,額定工作電流為1A。保護二極管的選擇(D1、D9)當(dāng)輸入端意外短路時,保護二極管能給輸出電容、提供一個放電通路,防止穩(wěn)壓器調(diào)節(jié)管擊穿。此處選取1N4001型二極管,其最高反相電壓Urm=50V,遠大于穩(wěn)壓器輸入、輸出端電壓差3V;且其額定電流I=1A,滿足放

17、電要求。濾波電容選擇(C1、C2)選擇濾波電容的選取應(yīng)滿足Td=RLC1=(35)T/2 (2-3)Td為放電時常數(shù),T為交流電周期。由前面計算得RL= =1.2k。故C1=C21.67nF,此處取C1=C2=330uF。性能改善電容選擇C3,C4用于改善高頻波紋,當(dāng)穩(wěn)壓器距離C1,C2越遠時,C3,C4越大。一般取0.11uF,此處選取C3=C4=0.1uF。C5,C6用于減小由負載電流瞬時變化引起的高頻干擾,一般取C5=C6=0.1uF。當(dāng)穩(wěn)壓器輸出離負載較遠時,器輸出端接電容用以改善輸出波紋特性并減小低頻干擾。一般取C7=C8=100uF。發(fā)光二極管(D2、D8)與限流電阻(R1、R2)

18、選擇電路中發(fā)光二極管D2、D8用來顯示電源是否正常工作,其工作電流小于10mA。故此處選取限流電阻R1=R2=5.1K。5V供電電源供電電源原理電路如圖22所示。提供+5V直流電電壓,供給單片機與鍵盤、顯示器等其它器件正常工作。其器件參數(shù)等同于15V供電電源,在此不加累述。圖2-2 線性5V穩(wěn)壓電源電路原理圖數(shù)控電路數(shù)控直流電流源的控制電路采用單片機最小系統(tǒng)對電路各部分進行控制。最小系統(tǒng)由MCU、采樣輸入、控制輸出、鍵盤、顯示電路組成。單片機最小系統(tǒng)單片機最小系統(tǒng)電路如圖2-3所示。圖 STYLEREF 1 s 23 單片機最小系統(tǒng)電路MCU選型MCU選用Silicon Laboratorie

19、s公司的C8051F020單片機,該系列單片機片含CIP-51的CPU核,它的指令系統(tǒng)與MCS-51完全兼容。其中C80511020單片機具有控制系統(tǒng)所需的模擬和數(shù)字外設(shè),包括看門狗、ADC、DAC、電壓比較器,VDD監(jiān)視器和溫度傳感器等,并具有多種總線接口。與以前的5l系列單片機相比,C8051F020增添了許多功能,其可靠性和速度也有了很大提高。時鐘設(shè)計數(shù)控直流穩(wěn)壓電源要求微處理器采樣周期設(shè)置為0.5s,并且實測值和設(shè)定值間隔顯示變換周期為2s左右,采用12MHZ晶振,可滿足系統(tǒng)要求。鍵盤與顯示數(shù)控直流穩(wěn)壓電源具有鍵盤輸入與顯示功能。用自治鍵盤對電壓進行設(shè)定,采用四位數(shù)碼管顯示實測值和設(shè)定

20、值。采用7219芯片電路作為顯示控制和驅(qū)動端,其優(yōu)點是顯示位數(shù)多,節(jié)約I/O端口,使用方便,價格合理。D/A轉(zhuǎn)換電路為了滿足取樣精度需要,選擇電壓為2.5V的基準電壓,通過其部的12位D/A變換器,使其步長精度達到2.5V/212 *410.1V,通過軟件編程,從DAC0、DAC1端口輸出相應(yīng)信號波,傳到模擬部分完成波形的轉(zhuǎn)換;D/A是先寫入高8位,再寫入低4位。模擬輸出電路與A/D校準方波實現(xiàn)原理控制單片機的D/A轉(zhuǎn)換,使其DAC0口輸出方波(此時的方波為單極性,電壓幅值為2.5V),同時,DAC1輸出直流(控制其電壓為1.25V),直流電壓經(jīng)過反相器,變成-1.25V,然后,與方波進行反相

21、相加,得到1.25V方波。原理圖如圖25所示。圖 STYLEREF 1 s 24-1 疊加方波實現(xiàn)圖反相放大調(diào)節(jié)實現(xiàn)原理根據(jù)要求需09.9V電壓,則經(jīng)過功放OP07AJ的反相放大器,與前一個反相器想抵,輸出正向電壓,通過調(diào)動滑動變阻器R32確定放大倍數(shù),倍數(shù)為得到 QUOTE 10V的方波。若僅直流,DAC1無輸入,直流電壓直接由DAC0進入,直接反相即可,原理圖如圖25所示。圖 STYLEREF 1 s 24-2 OP07AJ功放的反相放大器三角波實現(xiàn)原理需要三角波輸出時,控制繼電器K1,使其開關(guān)合下,三角波經(jīng)過積分電路,變成三角波,輸出。如不需三角波,K1上撥,將積分器短路,直接流過。(圖

22、6)圖2-4-3 繼電器與積分器通過OP547功率放大器,在電壓不變的情況下,增大電流,達到要求的500mA,S23為撥鍵開關(guān),按下將會產(chǎn)生輸出。下方的R43,R45電阻起到3:1的分壓作用圖2-4-4 OP547電流調(diào)整圖A/D校準原理在任何輸出情況下,需要輸出電壓對單片機進行反饋,通過反饋控制D/A轉(zhuǎn)換的精度。當(dāng)直流輸出時,控制繼電器K2,將二極管短路,而在方波、三角波輸出時,使繼電器開關(guān)合上,雙極性的信號(方波或三角波)經(jīng)過二極管變成單極性(0V-2.5V)反饋給單片機AIN0.0端口,經(jīng)過單片機部12位的A /D采樣,再與D/A輸入的數(shù)字量比較,進行校正,保證其準確性。圖2-4-5 反

23、饋電路圖驅(qū)動數(shù)碼管顯示電路該部分由7219顯示驅(qū)動和四位LED顯示器組成,MAX7219是一種集成化的串行輸入/輸出共陰極顯示驅(qū)動器,它連接單片機驅(qū)動4位LED顯示器的BCD碼7段數(shù)字顯示,電路圖如下:圖2-5 MAX7219引腳連接圖與單片機相連部分,將DIN,LOAD,CLK端分別于I/O端 P1.4,P1.6,P1.7相連,串行數(shù)據(jù)在DIN 輸入16 位數(shù)據(jù)包,通過時鐘的上升沿數(shù)據(jù)均移入到部16 位移位寄存器,LOAD的上升沿被載入數(shù)據(jù)寄存器或控制寄存器。16位串行數(shù)據(jù)D0-D15,其中,D8-D11 為寄存器地址位。D0-D7 為數(shù)據(jù)位。D12-D15 為無效位。在傳輸過程中,首先接收

24、到的是D15 位與數(shù)碼管相接部分。與數(shù)碼管相連部分, DIG0、DIG 1、DIG 2、DIG 3端分別接四個數(shù)碼管相連進行位選,SEG A、SEG B、SEG C、SEG D、SEG E、SEG F、SEG G、SEG DP分別與數(shù)碼管相應(yīng)字母的片選端相連進行數(shù)字顯示。外部電阻的最小值為9.53K,它設(shè)定段電流為40mA。系統(tǒng)功能與軟件設(shè)計系統(tǒng)功能分析1)顯示功能四位數(shù)碼管分別各兩位顯示電壓的大小值(09.9V)和頻率值;2)鍵盤示意圖1234567890.確定預(yù)置自掃直流三角波方波速度自動+自動-手動+手動-圖 STYLEREF 1 s 3 SEQ 圖 * ARABIC s 11 鍵盤示意

25、圖復(fù)位按鍵22個,0-9和“.”作為數(shù)字輸入鍵,并有“預(yù)置”“自掃”“直流”“三角波”“方波”“速度”“自動+”“自動-”“手動+”“手動-”“確定”作為功能控制鍵具體介紹如下;預(yù)置:在工作狀態(tài)前預(yù)先設(shè)置一個電壓。自掃:按下該鍵,輸入數(shù)值,再按“確定”鍵,可直接由預(yù)設(shè)值自動掃描到該數(shù)值。直流:按下,示波器顯示直流電壓輸出。三角波:按下,示波器顯示三角波形電壓輸出。方波:按下,示波器顯示方波形電壓輸出。速度:控制電壓自動變化的速度,分快慢兩檔。自動+/-:在自掃狀態(tài)下,使電壓自動以0.1V步進增加或減小。手動+/-:手動調(diào)節(jié)時,每按一次使電壓以0.1V步進增加或減小。確定:輸入數(shù)字后,按此鍵確認

26、。撥鍵開關(guān)1個,為輸出“output”,當(dāng)鍵撥上時輸出信號,撥回時停止輸出。3)控制:接收采樣電壓并與設(shè)定值比較產(chǎn)生控制信號輸出可由鍵盤設(shè)置設(shè)定值軟件設(shè)計結(jié)構(gòu)控制與顯示程序設(shè)計思想程序由主程序、定時器中斷程序、鍵盤掃描程序四部分組成。各部分功能與流程圖如下。主程序主程序的主要工作是進行初始化,掃描鍵盤,并響應(yīng)鍵盤,設(shè)定電流值。圖 STYLEREF 1 s 3-1 主程序流程圖鍵盤掃描子程序該系統(tǒng)需要22個按鍵即可實現(xiàn)所有功能。當(dāng)單片機響應(yīng)鍵盤后,則得到一個返回值。軟件設(shè)計采用了狀態(tài)轉(zhuǎn)換的編程方法,有工作狀態(tài)和編輯狀態(tài)兩種狀態(tài)。在工作狀態(tài)只響應(yīng)確認鍵,并轉(zhuǎn)入編輯狀態(tài),不響應(yīng)其它任何鍵;在編輯狀態(tài)

27、設(shè)定輸出電流值,確認和取消鍵退出編輯狀態(tài),轉(zhuǎn)入工作狀態(tài)。這樣不僅降低了編程的難度,也提高了程序的穩(wěn)定性。其流程圖如下:圖 STYLEREF 1 s 32 鍵盤掃描子程序流程圖 定時器中斷程序電路中A/D采樣周期為130ms,當(dāng)定時器T0中斷后進行一次采樣,單片機處理后,輸出D/A進行調(diào)節(jié),并且顯示。定時器中斷程序流程如圖3-3所示。圖 STYLEREF 1 s 33 定時器中斷程序流程ADC反饋調(diào)節(jié)需要得到精確的電壓值,模擬功放電路部分終端會輸入一個反饋,單片機的ADC會進行采樣,讀采樣數(shù)據(jù),并與DAC設(shè)定值進行對比,若誤差err=0.05,進行PID線性校正,控制調(diào)整電壓和傳送顯示。設(shè)電壓變

28、化為,當(dāng)前電壓為Vn,利用err*coff進行修正。圖 STYLEREF 1 s 34 ADC反饋調(diào)節(jié)子程序流程圖自動掃描中斷服務(wù)程序此功能是計算機實現(xiàn)自動加/減功能的程序,根據(jù)掃描方向修改當(dāng)前電壓值,并判斷其是否超過極性圍(09.9V),到達端點值時停止掃描,來完成自動掃描的全部過程。圖 STYLEREF 1 s 3 SEQ 圖 * ARABIC s 15 自動掃描中斷服務(wù)子程序流程圖方波/三角波中斷進入該功能模塊時,定時器1啟動,當(dāng)其溢出時,觸發(fā)中斷服務(wù)程序,使DA交替輸出高電平、低電平,實現(xiàn)方波輸出;輸出三角波時,控制繼電器,將積分器接入電路,對方波進行積分,得到三角波。圖 STYLER

29、EF 1 s 3 SEQ 圖 * ARABIC s 16 方波/三角波中斷子程序流程圖功能與性能測試測試條件測試環(huán)境航海學(xué)院222大型電子實驗室,常溫常濕常壓。測試儀器表 STYLEREF 1 s 4 SEQ 表 * ARABIC s 11 主要測試儀器清單序號名 稱型號、規(guī)格生產(chǎn)廠家數(shù)字示波器DPO7354TEK數(shù)字萬用表VC9806VICTOR整機調(diào)試在電路板裝配好后,進行整機調(diào)試。測試供電電源:14.266V,=14.898V,5.000V,系統(tǒng)供電正常。把電路各部分連為一體。對控制部分進行檢測,觀察顯示部分能正常工作和鍵盤按鍵功能能實現(xiàn),且顯示與測量值基本一致,所以電路能正常工作。系統(tǒng)

30、性能測試顯示部分功能測試用鍵盤隨意編輯一個設(shè)定值,觀察能否交替顯示設(shè)置值和測量值,同時用電壓表測量負載電壓值,比較這3個值大小,相差很小,達到了設(shè)計指標(biāo),負載輸出正常。重復(fù)上述操作后結(jié)果一致,顯示功能實現(xiàn)。鍵盤按鍵功能測試開機默認直流輸出狀態(tài),數(shù)碼管顯示直流電壓;按下“+/-”鍵,電壓值以步進0.1增加/減??;按“預(yù)置”鍵,預(yù)置一個電壓值,斷電重啟后,顯示該預(yù)置電壓值; 按“自動掃描”鍵,進入自動掃描狀態(tài),此時,在鍵盤上輸入一個電壓值,按“確定”鍵,開始以0.1的步進自動掃描到該數(shù)值;按“自掃+/-”電壓自動以0.1的步進增加/減小,直到增加至9.9V/減小至0.0V在掃描時,按“確認”鍵停止

31、;在“自掃+/-”狀態(tài)下,按“速度”鍵,可以控制掃描的速度(快/慢);按“方波”鍵,實現(xiàn)輸出方波(用示波器觀測),數(shù)碼管顯示方波峰值;按“三角波”鍵,實現(xiàn)輸出三角波(用示波器觀測),數(shù)碼管顯示三角波峰值;在“方波”、“三角波”輸出狀態(tài)下,按“直流”鍵,轉(zhuǎn)入直流輸出狀態(tài);按“確認”鍵,實現(xiàn)數(shù)字的有效輸入與正確顯示。性能參數(shù)測試4.4.1輸出電壓精度定標(biāo)改變輸出電流的設(shè)定值,用高精度電壓表測量負載上電壓,填入下表。分別在開路、200mA、500mA三種狀態(tài)下,進行數(shù)據(jù)段測量和誤差的計算,從而判斷出輸出電壓的精確讀。表 STYLEREF 1 s 42 開路輸出電壓精度定標(biāo)數(shù)據(jù)(單位:V)預(yù)設(shè)值開路2

32、00mA500mA顯示值測量值顯示值測量值顯示值測量值0.0V0.00.0090.00.0090.00.0090.1V0.10.1020.10.1010.10.1000.2V0,20.2030,20.2020,20.2000.3V0,30.3050,30.3030,30.3010.4V0,40.4060,40.4030,40.4010.5V0,50.5080,50.5050,50.5020.6V0.60.6080.60.6040.60.6010.7V0.70.7100.70.7050.70.7010.8V0.80.8100.80.8050.80.8000.9V0.90.9120.90.9060

33、.90.9001.0V1.01.0121.01.0051.00.9991.1V1.11.1121.10.1051.11.0991.2V1.21.2151.21.2071.21.2001.3V1.31.3151.31.3071.31.2991.4V1.41.4171.41.4081.41.4001.5V1.51.5171.51.5071.51.4981.6V1.61.6181.61.6081.61.5991.7V1.71.7201.71.7091.71.6991.8V1.81.8201.81.8081.81.7981.9V1.91.9221.91.9101.91.8992.0V2.02.0242

34、.02.0112.02.0002.1V2.12.1252.12.1112.12.0992.2V2.22.2252.22.2102.22.1982.3V2.32.3272.32.3122.32.2992.4V2.42.4262.42.4112.42.3972.5V2.52.5292.52.5132.52.4992.6V2.62.6312.62.6152.62.6002.7V2.72.7302.72.7132.72.6972.8V2.82.8332.82.8152.82.7992.9V2.92.9352.92.9172.92.9003.0V3.03.0363.03.0163.02.9993.1V3

35、.13.1363.13.1163.13.0993.2V3.23.2383.23.2163.23.1993.3V3.33.3373.33.3163.33.2973.4V3.43.4403.43.4173.43.3983.5V3.53.5403.53.5173.53.4983.6V3.63.6433.63.6193.63.5993.7V3.73.7433.73.7193.73.6983.8V3.83.8443.83.8203.83.7983.9V3.93.9463.93.9203.93.8984.0V4.04.0454.04.0194.03.9964.1V4.14.1474.14.1204.14.

36、0974.2V4.24.2484.24.2214.24.1974.3V4.34.3504.34.3224.34.2984.4V4.44.4514.44.4234.44.3984.5V4.54.5514.54.5224.54.4974.6V4.64.6544.64.6244.64.5984.7V4.74.7534.74.7224.74.6964.8V4.84.8554.84.8234.84.7964.9V4.94.9574.94.9254.94.8985.0V5.05.0575.05.0245.04.9965.1V5.15.0585.15.1245.15.0955.2V5.25.2605.25.

37、2265.25.1975.3V5.35.3605.35.3255.35.2955.4V5.45.4625.45.4275.45.3965.5V5.55.5625.55.5265.55.4955.6V5.65.6655.65.6285.65.5965.7V5.75.7665.75.7295.75.6965.8V5.85.8675.85.8295.85.7965.9V5.95.9675.95.9295.95.8956.0V6.06.0686.06.0286.05.9956.1V6.16.1706.16.1306.16.0966.2V6.26.2726.26.2316.26.1966.3V6.36.

38、3726.36.3306.36.2956.4V6.46.4736.46.4316.46.3956.5V6.56.5756.56.5336.56.4966.6V6.66.6756.66.6326.66.5956.7V6.76.7796.76.7366.76.6976.8V6.86.8806.86.8366.86.7976.9V6.96.9806.96.9366.96.8967.0V7.07.0807.07.0347.06.9947.1V7.17.1797.17.1337.17.0947.2V7.27.2827.27.2347.27.1957.3V7.37.3847.37.3367.37.2957

39、.4V7.47.4847.47.4357.47.3937.5V7.57.5877.57.5387.57.4947.6V7.67.6867.67.6367.67.5937.7V7.77.7887.77.7377.77.6937.8V7.87.8907.87.8397.87.7957.9V7.97.9927.97.9407.97.8958.0V8.08.0928.08.0398.07.9938.1V8.18.1938.18.1408.18.0938.2V8.28.2958.28.2428.28.1958.3V8.38.3968.38.3428.38.2948.4V8.48.4998.48.4448

40、.48.3958.5V8.58.5998.58.5438.58.4948.6V8.68.7018.68.6458.68.5958.7V8.78.8008.78.7438.78.6938.8V8.88.9048.88.8468.88.7958.9V8.99.0038.98.9458.98.8939.0V9.09.1049.09.0459.08.9939.1V9.19.2049.19.1449.19.0909.2V9.29.3079.29.2469.29.1919.3V9.39.4099.39.3479.39.2929.4V9.49.5109.49.4489.49.3939.5V9.59.6109

41、.59.5469.59.4919.6V9.69.7119.69.6479.69.5919.7V9.79.8129.79.7489.79.6909.8V9.89.9159.89.8509.89.7929.9V9.910.0179.99.9519.99.892圖 STYLEREF 1 s 37 輸出電壓誤差測量圖結(jié)論:輸出電壓Uo的設(shè)定值在09.9V變化時顯示的測量值、實際測的值、紋波電壓、絕對誤差均基本達到要求。4.4.2 紋波與噪聲由測試結(jié)果,可知:最大紋波與噪聲電壓U在負載20時小于等于10mV,完全符合題目要求。極限參數(shù)測試最大輸出電壓圍:09.9V;紋波電壓:開路時,紋波電壓小于120m

42、V;負載20時,紋波電壓小于10mV;負載50時,紋波電壓小于50mV。設(shè)計總結(jié)與技術(shù)展望本課題設(shè)計并實現(xiàn)了數(shù)控直流穩(wěn)壓電源,功能和性能部分超過了題目的基本要求與發(fā)揮要求,圓滿地完成了競賽題目的要求,并從實用性和可行性出發(fā),對系統(tǒng)做出了一定的技術(shù)發(fā)揮和改進。系統(tǒng)功能與性能總結(jié)系統(tǒng)功能與性能測試結(jié)果與題目要求的性能指標(biāo)對比總結(jié)如表5-1所示。表 STYLEREF 1 s 5 SEQ 表 * ARABIC s 11 系統(tǒng)功能與性能總結(jié)基本要求輸出電壓圍:0V9.9V具有”+”、”-“步調(diào)功能,步進0.1V自動掃描代替“+”、“-”步調(diào)功能,步進0.1V紋波電壓10 mV擴展輸出電壓種類實測性能輸出

43、電壓圍:0V9.9V 具有”+”、”-“步調(diào)功能,步進為0.1V具有自動掃描功能,步進為0.1V紋波電壓10Mv(負載20)三角波、方波技術(shù)展望我們很好的完成的附加功能有:增加系統(tǒng)自檢、自校,ADC采樣后,利用PID線性校準實現(xiàn)高精度恒流和穩(wěn)壓輸出,;由于時間和條件的限制,我們設(shè)想而尚未實現(xiàn)的功能有:1)在性能上,增大輸出電流極限(本系統(tǒng)硬件已具備輸出9.9V電壓的能力);2)在檢測上,增加系統(tǒng)溫度漂移和時間漂移等穩(wěn)定性能的測試。工作總結(jié)控電源是一個原理和操作都比較簡單的電子系統(tǒng),數(shù)控電源的輸出電壓調(diào)整圍為0.0V到9.9V,在這個圍,以0.1V的步進值,可以提供任一想要得到的電壓,為負載供電

44、,并且操作簡單,使用方便。本次設(shè)計在完成了題目的要求中仍有不足之處,其中主要的是沒有達到高精度的設(shè)計要求。其主要原因是設(shè)計條件不夠,在選擇元器件上沒有能夠很好的選擇。參考1單片微機原理與應(yīng)用,第二版,機械工業(yè),2004年2月。2C8051F系列單片機原理與應(yīng)用,培仁,力,清華大學(xué),2013年1月第一版。3C程序設(shè)計,譚浩強,第三版,清華大學(xué),2005年7月第二版。4何希才,明莉。新穩(wěn)壓電源與應(yīng)用實例M。:電子工業(yè)20045王小明,盧志強。基于STC89C52數(shù)控直流電源設(shè)計。微計算機信息,2009,12。6何香玲,鄧剛。數(shù)控式步進可調(diào)穩(wěn)壓源J?,F(xiàn)代電子技術(shù),2007。7蘭波。數(shù)控直流電源的研究

45、J。北方學(xué)院學(xué)報:自然科學(xué)版,2009。附錄附錄一 測試儀器清單附表 SEQ 表 * ARABIC s 11 主要測試儀器清單序號名 稱型號、規(guī)格生產(chǎn)廠家數(shù)字示波器DPO7354TEK數(shù)字萬用表VC9806VICTOR附錄二 原理電路圖整體電路圖附錄三元器件清單型號個數(shù)封裝電阻10k30直插2k10直插4.7k1直插10K電位器1直插1k15直插02直插100k1直插51k1直插5.1k1直插3k1直插電容100Uf/25V4貼片鉭電容22Uf/16V1貼片鉭電容330Uf/25V1貼片鉭電容1042直插LED燈6直插(大)LED燈1直插(?。㊣N41481直插IN40015直插HFD2700

46、5(繼電器)2直插LT14601DIP-8HDR1*3(插座)1直插HDR1*2(插座)2直插9013(QNPN)2SOT-23BUTTON(按鈕)23直插MAX7219CNG1直插C8051F0201TQFP100REG1117-3.31貼片OP07AJ5DIP8C8051F020JTAG1直插SM420564(數(shù)碼管)14合一MC78T05ACT1直插12MHZ晶振1直插變壓器(220v轉(zhuǎn)15V)1無變壓器(220v轉(zhuǎn)6V)1無附錄四 單片機程序/ file name: pressureMeasure.c/#include c8051f020.htypedef unsigned char

47、uchar;typedef unsigned int uint;#define TRUE1#define FALSE0/#define DISINTER 1000/ 工作方式enumWK_DC,WK_SQU,WK_TRI,WK_SET,WK_SCANUP,WK_SCANDOWN,;uchar g_workmode;/ucharDisBuf4;unsigned intDisplayInterval;xdata int code_tab100=0,44,85,126,167,208,248,289,329,370,410,450,492,532,573,613,654,695,735,776,81

48、7,858,898,939,979,1021,1062,1102,1143,1184,1224,1264,1305,1345,1386,1427,1468,1508,1549,1590,1630,1671,1712,1753,1793,1833,1874,1914,1954,1995,2035,2076,2117,2157,2198,2238,2279,2320,2360,2400,2441,2482,2523,2563,2604,2645,2685,2727,2767,2807,2847,2887,2928,2969,3009,3050,3090,3131,3172,3213,3253,32

49、94,3335,3375,3416,3456,3497,3537,3578,3618,3659,3699,3740,3781,3822,3862,3903,3944,3985,4026 ;IntTab16= 0 x7E,0 x30,0 x6d,0 x79,0 x33,0 x5B,0 x5F,0 x70,0 x7F,0 x7B,0 x77,0 x4E,0 x3D,0 x0d,0 x00;inti;/index for code_tabintup,down;/used for SCANUP and SCANDOWNintcounter; /usedin WK_PRESET unsigned int

50、 g_code;unsigned int measureVol;unsigned intnum; /數(shù)字鍵標(biāo)志0-99int scan_counter;/usedfor auto-scaningintvol;/ GPIO定義的例子sbitCLK7219 = P15; / 7219輸入時鐘sbitLOAD7219 = P16;/ 7219數(shù)據(jù)輸入幀信號sbitDIN7219 = P14; / 7219數(shù)據(jù)串行收入sbit LED_PRESET = P00; /預(yù)置鍵指示燈sbit LED_AUTO_SCAN = P01;/自動掃描指示燈sbit LED_DC_MOD = P02;/直流輸出指示燈

51、sbit LED_SQU = P03;/方波輸出指示燈sbit LED_TRI = P04;/三角波輸出指示燈sbit SET = P05;/預(yù)置鍵sbit AUTO_SCAN = P06; /自動掃描鍵sbit DC_MOD = P07;/直流輸出sbit SQU = P30; /方波輸出sbit TRI = P31;/三角波輸出sbit SCAN_SPEED = P32; /掃描速度控制鍵sbit SCAN_A = P36;/自動掃描+sbit SCAN_S = P35;/自動掃描sbit INCREASE = P34;/旋鈕sbit DECREASE = P33;/旋鈕+sbit NUM

52、_0 = P10; /數(shù)字0sbit NUM_1 = P37;/數(shù)字1sbit NUM_2 = P20;/數(shù)字2sbit NUM_3 = P21;/數(shù)字3sbit NUM_4 = P22;/數(shù)字4sbit NUM_5 = P23;/數(shù)字5sbit NUM_6 = P24;/數(shù)字6sbit NUM_7 = P25;/數(shù)字7sbit NUM_8 = P26;/數(shù)字8sbit NUM_9 = P27;/數(shù)字9sbit DECIMAL_POINT = P11;/小數(shù)點sbit ENTER = P12; /Enter鍵/ 延時/void Delay(uint nCount) /delay nCount

53、uswhile(nCount-);void SetDAC0(int dat)uchar low; uchar high;low = dat & 0 xff;high = (dat 8) & 0 x0f;DAC0L = low;DAC0H = high;void SetDAC1(int dat)uchar low;uchar high;low = dat & 0 xff;high = (dat 8) & 0 x0f;DAC1L = low;DAC1H = high;/ 7219操作程序/void Send7219(uchar add, uchar dat)uchar ADS, j;LOAD721

54、9 = 0;CLK7219 = 0;ADS = add;for(j = 0; j 8; +j)/ send addressDIN7219 = ADS & 0 x80;ADS = 1;CLK7219 = 0;CLK7219 = 1;ADS = dat;for(j = 0; j 8; +j)/ send dataDIN7219 = ADS & 0 x80;ADS = 1;CLK7219 = 0;CLK7219 = 1;CLK7219 = 0;LOAD7219 = 1;/ 4位數(shù)碼管顯示/void DisplayLed(void)Send7219(0 x01, DisBuf0);Send7219(0

55、 x02, DisBuf1);Send7219(0 x03, DisBuf2);Send7219(0 x04, DisBuf3);/ 定時器0中斷/void Timer0IntServe(void) interrupt 1int vol;EA = 0;TR0 = 0;TL0 = 0 xAF;TH0 = 0 x3C;scan_counter+;if(up=1)if(scan_counter=5)scan_counter=0;if(i0)g_code=code_tabi-;SetDAC0(g_code);vol=g_code*0.02483;DisBuf2=Tabvol/10| 0 x80;Dis

56、Buf3=Tabvol%10;elseg_code=code_tabi; SetDAC0(g_code);vol=g_code*0.02483;DisBuf2=Tabvol/10| 0 x80;DisBuf3=Tabvol%10; TR0=1;EA=1;/ 定時器1中斷/char squareLevel = 0;void Timer1IntServe(void) interrupt 3EA=0;TR1 = 0;TL1 = 0 x18;TH1 = 0 xFC;TR1 = 1;if(squareLevel = 0)squareLevel = 1;DAC0L=0 x00;DAC0H=0 x00;el

57、se /(squareLevel = 1)squareLevel = 0;DAC0L=0 xFF;DAC0H=0 xFF;EA=1;/ ADC0轉(zhuǎn)換結(jié)束中斷/void ADCIntServe() interrupt 15float vol=0;AD0INT = 0;EA = 0;measureVol = ADC0H 8;measureVol += ADC0L;vol= measureVol;vol = vol * 2.3597;measureVol = (int)vol;/DisBuf3 = (measureVol % 10);/DisBuf2 = (measureVol / 10) % 10

58、;/DisBuf1 = (measureVol /100) % 10;/DisBuf0 = (measureVol /1000) | 0 x80;EA = 1;void OscInit()OSCXCN = 0 x67;/ 允許外部晶體Delay(0 x100);while(OSCXCN & 0 x80) != 0 x80)/ 等待穩(wěn)定Delay(10);Delay(0 x100); OSCICN = 0X88;/ 切換到外部晶體 / 端口初始化/ 注:7219對應(yīng)的端口需要配置成推挽方式void PortInit()P0MDOUT = 0X00;/ 漏極開路P1MDOUT = 0X70;/ 漏

59、極開路P2MDOUT = 0X00;/ 漏極開路P3MDOUT = 0X00;/ 漏極開路,P31推挽XBR2 = 0X40;/ 使能交叉開關(guān), 弱上拉全局允許P74OUT = 0 x3C;/ 初始化/void Initial() P0 = 0 xff;P1 = 0 xff;P2 = 0 xff;P3 = 0 xff;DisBuf0 = 0;DisBuf1 = 11;DisBuf2 = 4;DisBuf3 = 5;DisplayInterval = 0;/DIN7219 = 1;LOAD7219 = 1;CLK7219 = 0;/ initial 7219Send7219(0 x09,0 x0

60、0);/ decode mode for all displaySend7219(0 x0A,0 x01);/ set brightness to halfSend7219(0 x0B,0 x03);/ display 0, 1, 2, 3Send7219(0 x0C,0 x01);/ display in normal statesSend7219(0 x0F,0 x00);/ leave test mode/void DAC_Init() /DA輸出初始化DAC0H=0 x00; /DAC0輸出0V(即初始電壓為0V) DAC0L=0 x00;DAC1H=0 x00; /DAC1輸出0VD

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論