微機(jī)系統(tǒng)與接口:第二次習(xí)題(復(fù)習(xí))課_第1頁
微機(jī)系統(tǒng)與接口:第二次習(xí)題(復(fù)習(xí))課_第2頁
微機(jī)系統(tǒng)與接口:第二次習(xí)題(復(fù)習(xí))課_第3頁
微機(jī)系統(tǒng)與接口:第二次習(xí)題(復(fù)習(xí))課_第4頁
微機(jī)系統(tǒng)與接口:第二次習(xí)題(復(fù)習(xí))課_第5頁
已閱讀5頁,還剩71頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、 微機(jī)系統(tǒng)與接口課程總結(jié)基本內(nèi)容1微機(jī)系統(tǒng)基礎(chǔ)(數(shù)制、系統(tǒng)、P/MPU(FPU)、IA-16/32)2指令和用途 系統(tǒng)硬件相關(guān)3匯編語言程序設(shè)計(jì)(偽指令-數(shù)據(jù)-程序流)4半導(dǎo)體存儲(chǔ)器(概念、MPU接口電路)5接口技術(shù)數(shù)字量接口 6.模擬量接口及應(yīng)用編程7IA-32原理概念 1 微機(jī)系統(tǒng)與接口考試1. 基本概念(選擇題與填空題)30%2. 匯編程序部分(程序閱讀理解和編寫) 3道中題 35%3.存儲(chǔ)器與接口電路(連線、計(jì)算、編程) 2道大題 35%(存儲(chǔ)器、中斷、定時(shí)計(jì)數(shù)器、并口、A/D與D/A)內(nèi)容范圍:參見考試大綱課程輔導(dǎo)、課程小結(jié):http:/Articles.aspx?id=1536 0

2、8年試卷http:/Articles.aspx?id=1747 2第二次習(xí)題(復(fù)習(xí))課第4部分:半導(dǎo)體存儲(chǔ)器第5部分: 數(shù)字量輸入輸出總線、接口概念*接口電路(芯片)、端口地址* 數(shù)據(jù)傳送方式* 中斷電路及其處理*定時(shí)/計(jì)數(shù)器電路與應(yīng)用* 并行接口電路與應(yīng)用* 串行接口電路與應(yīng)用DMA電路與應(yīng)用第6部分:模擬量輸入輸出3Cache主存外存(輔存)三級存儲(chǔ)系統(tǒng)結(jié)構(gòu)根據(jù)運(yùn)行時(shí)存?。ㄗx寫)過程的不同分類RAM-SRAM;DRAM ;數(shù)據(jù),堆棧,速度(SRAM-Cache)ROM-EPROM,EEPROM,NVRAM特點(diǎn); 程序,固化數(shù)據(jù)內(nèi)/外存45理解匯編指令存儲(chǔ)器訪問存儲(chǔ)器I/O接口輸入設(shè)備I/O

3、接口數(shù)據(jù)總線 DB控制總線 CB地址總線 AB輸出設(shè)備CPUEU|BIUMOV 55AAH,AL(DS)=8000H 物理地址: 855AAHA19-A0: 1000 0101,0101,1010,1010 時(shí)/CS=0A19-A05半導(dǎo)體存儲(chǔ)器*關(guān)鍵:與處理器的接口正確使能、讀寫單元, 選中單元:譯碼邏輯關(guān)系、譯碼器真值表*掌握:存儲(chǔ)器擴(kuò)展、簡單互連,讀圖/設(shè)計(jì)*常見SRAM存儲(chǔ)器芯片容量計(jì)算:例6116(2K8bit),數(shù)據(jù)線8根,地址線11根*譯碼片選-片內(nèi)兩級譯碼全譯碼、部分譯碼、線選譯碼 (重疊)常用譯碼器(74LS138)真值表(給出)2-43-84-16譯碼n根地址線2n個(gè)單元

4、A0An-1 WE(WR)、OE(RD)、 CE或 CS(CS)IO/M、6存儲(chǔ)器與CPU接口8086/8088有20根地址線片內(nèi)譯碼7譯碼8常用譯碼器74LS138真值表9存儲(chǔ)器擴(kuò)展掌握:存儲(chǔ)器擴(kuò)展、簡單互連,讀圖/設(shè)計(jì)例題:用10241位的RAM芯片組成16K8位的存儲(chǔ)器,需要多少芯片?答:構(gòu)成1K8位的存儲(chǔ)器需要8片,因此組成16K8位的存儲(chǔ)器需要168128片。 片內(nèi)有1024個(gè)單元,需要10根地址線。16組(每組8片)存儲(chǔ)器需要16根片選信號,至少再需要4根地址線經(jīng)譯碼器輸出。10例題例題4.4:某系統(tǒng)的存儲(chǔ)器中配備有兩種芯片;容量分別為2K8的EPROM和容量為1K8的RAM。它采

5、用74LS138譯碼器產(chǎn)生片選信號:Y0,Y1,Y2直接到三片EPROM(1#,2#,3#);Y4,Y5則通過一組門電路產(chǎn)生四個(gè)片選信號接到四片RAM(4#,5#,6#,和7#)。如題圖4-1,試確定每一片存儲(chǔ)器的尋址范圍。 11例題 A15 A14 A13 A12 , A11 A10 A9 A8 , A7 A6 A5 A4 , A3 A2 A1 A04# 1 0 1 0 0 05# 1 0 1 0 0 16# 1 0 1 0 1 07# 1 0 1 0 1 14# A000HA3FFH5# A400HA7FFH 6# A800HABFFH7# AC00HAFFFH1# 8000H87FFH

6、2# 8800H8FFFH 3# 9000H97FFH A15 A14 A13 A12 , A11 A10 A9 A8 , A7 A6 A5 A4 , A3 A2 A1 A01# 1 0 0 0 02# 1 0 0 0 13# 1 0 0 1 012例題答案:A(08考題 基本概念)(06考題)答案:D13第二次習(xí)題(復(fù)習(xí))課第4部分:半導(dǎo)體存儲(chǔ)器第5部分: 數(shù)字量輸入輸出總線、接口概念*接口電路(芯片)、端口地址* 數(shù)據(jù)傳送方式* 中斷電路及其處理*定時(shí)/計(jì)數(shù)器電路與應(yīng)用* 并行接口電路與應(yīng)用* 串行接口電路與應(yīng)用DMA電路與應(yīng)用第6部分:模擬量輸入輸出14總線、接口概念1516微機(jī)的字長

7、:ALU的寬度、CPU內(nèi)部數(shù)據(jù)總線的寬度 地址總線(AB)單向數(shù)據(jù)總線(DB )雙向控制總線(CB)每根線單向17I/O端口的編址方式*I/O端口的編址方式有兩種: (08考題 基本概念)統(tǒng)一編址(存儲(chǔ)器映象尋址方式):把系統(tǒng)中的每個(gè)I/O端口都看作一個(gè)存儲(chǔ)單元,并與存儲(chǔ)單元一樣統(tǒng)一編址,這樣訪問存儲(chǔ)器的所有指令均可用來訪問I/O端口,不用設(shè)置專門的I/O指令。單獨(dú)編址(I/O指令尋址方式):對系統(tǒng)中的輸入輸出端口地址單獨(dú)編址,構(gòu)成一個(gè)I/O空間,它們不占用存儲(chǔ)空間,而是用專門的IN指令和OUT指令來訪問這種具有獨(dú)立地址空間的端口。 8086/8088CPU采用I/O指令尋址方式,用地址總線的

8、低16位(A15A0)來尋址I/O端口,最多可以訪問21664K個(gè)IO端口。 (可尋址220=1M內(nèi)存空間)18I/O接口技術(shù)譯碼與片選 *所有接口電路的譯碼、指令操作(讀圖),簡單互連1. 根據(jù)端口地址確定地址信號A15A0的條件取值,用門電路、譯碼器及組合、PLD/GAL實(shí)現(xiàn)滿足條件情況的電路。2. 設(shè)計(jì)I/O譯碼電路時(shí): 端口的選通信號通常為低電平有效,除端口的地址信號參加譯碼外,控制信號IOW、IOR(IO/M、AEN也可參加譯碼)*設(shè)計(jì)I/O端口譯碼電路的方法多種選擇設(shè)計(jì):可選常規(guī),易實(shí)現(xiàn)/CS, /OE, /WR 信號;無獨(dú)立片選芯片192021硬件連線:開關(guān)轉(zhuǎn)換(上拉電阻)和LE

9、D驅(qū)動(dòng)(限流電阻) *簡單I/O的連接方法另:P247 圖5.11,經(jīng)反相器7406后再接LED接電阻到VCC22*簡單I/O:開關(guān)電平變換連接簡單I/O指令控制:輸入三態(tài)緩沖MOV DX, 218H IN AL, DX DBIOR地址譯碼 AB218H000D7 D0A15A0或門IO總線K1 :K8D0D7 74LS2441A11A21Y11Y25VG1G2三態(tài)緩沖器*輸入:三態(tài)緩沖器(244),輸出:鎖存器(273),可編程并口芯片825523*簡單I/O:LED/八段數(shù)碼管的連接簡單I/O控制: 輸出使能/鎖存IO總線數(shù)據(jù)線IOW地址譯碼地址線288H000D7 D0A15A0或門74

10、LS74鎖存器CLKDQVcc10 MOV AL, 81H MOV DX, 288H OUT DX, AL24*四種I/O方式無條件傳送程序I/O(查詢)-三種端口數(shù)據(jù)/狀態(tài)/控制及作用, 中斷,效率較高,CPU響應(yīng)較快,但傳送由中斷軟件完成 DMA,可以實(shí)現(xiàn)外設(shè)和存儲(chǔ)器之間的數(shù)據(jù)高速傳送,不需要處理器。效率較高,硬件完成,但電路復(fù)雜問題的提出:CPU與外設(shè)的工作速度不一致,尤其是當(dāng)外設(shè)由其他CPU或時(shí)序電路控制時(shí)更突出,如何解決效率和可靠性?25例題 例:選擇題在統(tǒng)一編址方式下,存儲(chǔ)單元和I/O設(shè)備是靠 A 來區(qū)分的。:不同的地址代碼 :不同的地址總線:不同的指令或不同的控制信號考點(diǎn):概念例

11、:填空題微機(jī)系統(tǒng)中一般有3 種基本I/O控制方式,分別是程序(查詢)方式, 中斷方式,和DMA方式。26中斷及中斷控制器8259中斷概念: CPU與外設(shè)交換信息的一種重要方式=硬件手段,改變CPU執(zhí)行程序的順序(程序流)掌握初始化編程(順序),但所有控制字格式不需要死記硬背,提供參考查閱了解:中斷屏蔽;中斷優(yōu)先級;中斷嵌套;掌握:中斷類型,中斷概念;中斷處理過程,中斷服務(wù)程序;應(yīng)用:外部中斷的隨機(jī)性保護(hù)斷點(diǎn)(自動(dòng)),現(xiàn)場保護(hù)(編程)27中斷及中斷控制器8259分類(1)外部中斷不可屏蔽中斷NMI/可屏蔽中斷INTR(2)內(nèi)部中斷INT n:軟中斷CPU的某些運(yùn)算錯(cuò)誤引起的中斷:除法錯(cuò)、溢出由調(diào)

12、試程序debug設(shè)置的中斷:單步/斷點(diǎn) 28中斷向量表中斷向量表:中斷服務(wù)程序入口地址表。8086/8088系統(tǒng)允許處理256種類型的中斷,對應(yīng)類型號為0FFH。在存儲(chǔ)器的00000H003FFH占1K字節(jié)空間存放中斷向量。C03年考題29中斷響應(yīng)原理CPU響應(yīng)中斷三個(gè)條件:外設(shè)提出中斷申請;本中斷未被屏蔽;CPU中斷允許。中斷實(shí)現(xiàn):中斷源-中斷請求-中斷響應(yīng)-中斷服務(wù)-中斷恢復(fù)保護(hù)斷點(diǎn):FLAGS入棧;IF=0 TF=0; CS、IP入棧;自動(dòng)保護(hù)現(xiàn)場:PUSH指令將某些寄存器的內(nèi)容壓入堆棧; 手動(dòng)30中斷響應(yīng)過程*CPU步驟:獲取中斷類型號;將標(biāo)志寄存器FLAGS的值入棧;將中斷允許標(biāo)志I

13、F和單步標(biāo)志TF清0屏蔽外部其它中斷請求,避免CPU以單步方式執(zhí)行中斷處理程序;保護(hù)斷點(diǎn)將當(dāng)前下一條指令的CS和IP的值入棧;根據(jù)中斷類型號到中斷向量表中找到中斷向量,轉(zhuǎn)入相應(yīng)中斷服務(wù)子程序(6)中斷處理程序結(jié)束后,IRET從堆棧中依次彈出IP、CS和FLAGS,然后返回主程序斷點(diǎn)處,繼續(xù)執(zhí)行原來的程序(0:4*n取中斷向量CS:IP)31中斷響應(yīng)過程(續(xù))*CPU如何獲得中斷類型號?INT n;除法=0,INTO溢出=4,單步=1,斷點(diǎn)=3;不可屏蔽中斷NMI,自動(dòng)獲得2;可屏蔽中斷,由接口電路(8259)通過數(shù)據(jù)總線向CPU發(fā);中斷服務(wù)程序中的STI和EOI:在中斷服務(wù)程序中STI,允許中

14、斷嵌套,在中斷服務(wù)程序結(jié)束前,中斷結(jié)束命令EOI,清除標(biāo)志位,即允許響應(yīng)同級或低級中斷。32i8259A可編程中斷控制器*(了解)i8259A兩步編程:1、初始化編程一次寫入(編程)初始化命令字ICW142、工作編程可多次寫入(編程)工作命令字OCW13 初始化編程順序:ICW1 -CW2-多片時(shí)寫ICW3 -需要時(shí)寫ICW433i8259A可編程中斷控制器*8259級聯(lián)擴(kuò)展,單片8個(gè)中斷源,兩級級聯(lián):64個(gè)IRQ0IRQ7IR0IR2INTINTA8259A(主)IR1IR3IR4IR5IR6IR7IRQ1IRQ3IRQ4IRQ5IRQ6IR0IR2INTINTAIR1IR3IR4IR5IR

15、6IR7IRQ8IRQ15IRQ9IRQ11IRQ12IRQ13IRQ14IRQ108259A(從)SPSPVccCAS0CAS2CPU34例題習(xí)題5.3: 已知(SP)=0100H,(SS)=0300H,(FLAGS)=0204H,00020H至00023H單元的內(nèi)容分別是40H,00H,00H,01H。已知INT 8指令在0900H:00A0H的CS段內(nèi)。試指出在執(zhí)行INT 8指令并進(jìn)入該指令相應(yīng)的中斷程序時(shí),SP、SS、IP、CS、FLAGS和堆棧最上面三個(gè)字的內(nèi)容,用圖表示。35中斷例程 00020H00022H01H00H00H40H. . . . .中斷向量表02H40H09H00

16、H00HA2HSP=00FAHSP=0100HIPCSFLAGS. . . 堆 棧指令I(lǐng)NT 8為雙字節(jié)指令,因此下一條指令的地址為0900H:00A2H;8420H,中斷向量表地址00020H中取得0040HIP,0100HCS,即執(zhí)行指令I(lǐng)NT 8后,CS=0100H,IP=0040H。36中斷例程 標(biāo)志寄存器FLAGS執(zhí)行INT 8前執(zhí)行INT 8后CFXPFXAFXZFSFTFIFDFOFX X X X0010000001000 0 0 00000001000000 0 0 0FLAGS0204HFLAGS0004H37中斷優(yōu)先級例程 習(xí)題5.4 如正以單步方式運(yùn)行某用戶程序(該程序開

17、放外部中斷,即IF=1)的過程中執(zhí)行一條除法指令時(shí),INTR線上出現(xiàn)可屏蔽中斷請求。然后,這條除法指令產(chǎn)生了除法出錯(cuò)中斷。試指出CPU處理這三種同時(shí)出現(xiàn)的中斷的順序。優(yōu)先級從高到低:除0-INTR-單步 388259的編程和讀寫例題習(xí)題5.5: 試編寫出只有一片8259A的8088系統(tǒng)中8259A的初始化程序。8259A的地址為02C0H和02C1H,要求: 中斷請求輸入采用電平觸發(fā); 中斷類型號從16開始; 采用緩沖器方式; 采用正常結(jié)束方式;ICW1: D7 D6 D5 D4 D3 D2 D1 D0 1 1 X 1 1 1BH-02C0HICW2: D7 D6 D5 D4 D3 D2 D1

18、 D0 0 0 0 1 0 0 0 0 10H-02C1HICW4: D7 D6 D5 D4 D3 D2 D1 D0 0 0 0 0 1 1 0 1 0DH-02C1H IR010HIR111H398259的編程和讀寫例題習(xí)題5.6 : 試編寫一段將8259A中IRR、ISR和IMR的內(nèi)容送至存儲(chǔ)器中從RETARR開始的數(shù)組中去的程序。假定CPU為8086,8259A偶地址為50H。OCW3 (寫偶地址)X 00 01 1 10 隨后同一地址讀IRRX 00 01 1 11 隨后同一地址讀ISR對奇地址讀:IMR40中斷處理程序INT_PROC PROC FARMOV AX,DATAMOV D

19、S,AXDEC IRQ_TIMESMOV CX, 0FFFFHLOOP2:NOPLOOP LOOP2MOV AL,20HOUT 20H,AL;寫OCW2IRETINT_PROC ENDP實(shí)驗(yàn)四(2)注意保護(hù)現(xiàn)場:相關(guān)的通用寄存器+段寄存器PUSH AXPUSH CXPUSH DXPOP DXPOP CXPOP AX補(bǔ)充PUSH DS補(bǔ)充POP DS補(bǔ)充 CLI;關(guān)中斷補(bǔ)充 STI ;開中斷2011年考題41T/C 8253:定時(shí)器/計(jì)數(shù)器可編程8253:單通道長時(shí)間定時(shí)器的軟件編程實(shí)現(xiàn),硬件級連的概念-定時(shí)中斷背景為CPU和外部設(shè)備提供實(shí)時(shí)時(shí)鐘:定時(shí)或延時(shí)控制定時(shí)中斷、定時(shí)檢測、定時(shí)掃描Tim

20、er對外部事件計(jì)數(shù)Counter。42T/C 8253:定時(shí)器/計(jì)數(shù)器可編程定時(shí)器/計(jì)數(shù)器(減法),T/C差別(CLK): Timer 為CPU和外設(shè)提供實(shí)時(shí)時(shí)鐘、定時(shí)或延時(shí)控制,如定時(shí)中斷、定時(shí)檢測、定時(shí)掃描; Counter對外部事件計(jì)數(shù)控制字:高/低字節(jié),BIN/BCD;六種工作方式: 波形特征、計(jì)數(shù)初值(n可編程設(shè)置)與產(chǎn)生脈沖頻率的關(guān)系、CLK/GATE對OUT的作用、觸發(fā)方式;軟件觸發(fā)寫入初值,硬件觸發(fā) Gate正跳變 (08考題)方式0:計(jì)滿n+1脈沖則out產(chǎn)生高電平,計(jì)數(shù)值最大時(shí)應(yīng)置時(shí)間常數(shù)為0(和LOOP CX相同)43T/C 8253:定時(shí)器/計(jì)數(shù)器44T/C 8253:

21、定時(shí)器/計(jì)數(shù)器方式0、1和方式4、5不能自動(dòng)重復(fù),常用于計(jì)數(shù);方式2、3能自動(dòng)重復(fù),常用于定時(shí);常用:方式0:計(jì)完n+1個(gè)脈沖發(fā)中斷請求,軟件啟動(dòng)方式2:速率發(fā)生器,對clk進(jìn)行n分頻方式3:方波發(fā)生器,區(qū)分n奇數(shù)/偶數(shù)情況硬件級聯(lián)的概念定時(shí)中斷掌握六種方式:45定時(shí)器/計(jì)數(shù)器例程 例題:設(shè)8253通道0-2和控制端口地址分別為300H、302H、304H、306H,定義通道0工作在方式3,CLK0=2MHz,要求通道0輸出1.5KHz方波;通道1用通道0的輸出作為計(jì)數(shù)脈沖,輸出頻率為300Hz的負(fù)脈沖序列;通道2每秒向CPU發(fā)50次中斷請求。0通道工作在方式3(方波),n02MHz/1.5K

22、Hz13341通道工作在方式2(速率發(fā)生器),n11.5KHz/300Hz52通道工作在方式0(結(jié)束中斷),當(dāng)CLK22MHz時(shí), n22MHz/50Hz139999;當(dāng)CLK2OUT01.5KHz時(shí), n21.5KHz/50Hz129 ;當(dāng)CLK2OUT1300Hz時(shí), n2300Hz/50Hz1546定時(shí)器/計(jì)數(shù)器例程 GATE0GATE1GATE2CLK0 OUT0 CLK1 OUT1 CLK2 OUT2D7D0D7D0A0A1A1A2RDRDWRWRCBAG1G2AG2BY0A8A9A7A6A5A4A3A0M/IOCS+5V2MHz1.5KHz300Hz50次/秒中斷74LS13882

23、5347MOVDX,306HMOVAL,00110111B ;方式3,先讀/寫低8位, ;后讀/寫低8位, BCD計(jì)數(shù)0通道初始化: OUTDX,ALMOVDX,300HMOVAL,34H ;初值低8位OUTDX,AL MOVAL,13H ;初值高8位OUTDX,AL1通道初始化:MOVDX,306HMOVAL,01010101B ;方式2,只讀/寫低8位, BCD計(jì)數(shù)OUTDX,ALMOVDX,302HMOVAL,05H ;初值OUTDX,AL2通道初始化: MOVDX,306HMOVAL,10010001B;方式0,只讀/寫低8位,BCD計(jì)數(shù)OUTDX,ALMOVDX,304HMOVAL,

24、29H;初值OUTDX,AL 48習(xí)題5.9:假定一片8253連接至1KHz的時(shí)鐘,用該8253以BCD格式保持一天中的時(shí)間,精度為秒。在HOURS(小時(shí))、MINUTES(分)、SECOND(秒)等字節(jié)均裝入當(dāng)前時(shí)間以后,就立即開始計(jì)時(shí)。試編寫一個(gè)8253的初始化程序和一個(gè)在每秒結(jié)束時(shí)修改時(shí)間的中斷程序。 定時(shí)器/計(jì)數(shù)器例程 MOV DX, CNT+3;控制字端口地址MOV AL, 30H;通道0,先低后高,方式0OUT DX, ALMOV AL, E7H;計(jì)數(shù)初值1000-1=999(3E7H)MOV DX, CNT;通道0端口地址OUT DX, ALMOV AL, 03HOUT DX,

25、AL 49(續(xù))INTSV: ;中斷服務(wù)程序PUSH ;保護(hù)現(xiàn)場INC SECONDSCMP SECONDS,60;秒=60,進(jìn)位JC NEXTFMOV SECONDS,0INC MINUTSCMP MINUTS,60 ;分=60,進(jìn)位JC NEXTFMOV MINUTS,0INC HOURSCMP HOURS,24 ;小時(shí)=24JC NEXTFMOV HOURS,0 NEXTF: POP 50并行I/O接口 i8255方式0:基本直接I/O,含輸出鎖存/輸入緩沖無鎖存;方式1:選通I/O(A口/B口,C口聯(lián)絡(luò)) ;方式2:雙向I/O方式(PA口);方式1/2帶INTR,可中斷;IBF/OBF

26、信號的作用(輸入/輸出緩沖區(qū)滿);而方式0只能查詢。8255控制字:(1)方式字,特征位D7=1;(2)PC口位控功能字,特征位D7=0,允許CPU用輸出指令單獨(dú)對C口的某一位寫入“1”或“0”,正確的方法是通過寫8255的控制寄存器方式寫入; 脈沖的產(chǎn)生: 位0-1-051例題 習(xí)題5(1).如題圖5-1 所示,此為開關(guān)狀態(tài)檢測電路和繼電器控制電路。當(dāng)開關(guān)K 閉合時(shí),將驅(qū)動(dòng)對應(yīng)的繼電器(即動(dòng)作);如開關(guān)處于斷開狀態(tài),則無電流流過繼電器線圈,繼電器不動(dòng)作。如系統(tǒng)每隔10ms 檢測一次開關(guān)狀態(tài)和對繼電器作相應(yīng)控制,定時(shí)控制由8253 完成。試編寫對8255A 的初始化程序(初始態(tài)時(shí)應(yīng)保證繼電器不

27、動(dòng)作)和完成上述功能的檢測、控制程序。設(shè)8255A 地址為60H 63H。52答案錯(cuò),勿抄襲! 自己做,好! 53串行I/O接口 8250/8251基本概念:同步/異步通信,單工/雙工,調(diào)制解調(diào),RS232電平標(biāo)準(zhǔn),異步通信數(shù)據(jù)格式 :起始位(1),數(shù)據(jù)位(5-8,先低后高) ,奇偶校驗(yàn)*1,停止位(1-2) ,=每個(gè)字符至少10位,每位時(shí)間寬度=1/波特率。波特率:每秒鐘傳送的二進(jìn)制脈沖的數(shù)目即1波特=bit/s 字符速率:每秒所傳輸?shù)淖址麛?shù)。8250:結(jié)構(gòu)特征:雙緩沖器數(shù)據(jù)收發(fā)都有移位寄存器;編程:3根地址線=10個(gè)寄存器,尋址表5.10;傳輸線控制(DLAB位)傳輸線狀態(tài)寄存器(溢出:寄

28、存器被覆蓋,(a)發(fā)送太快,沒有來得及送出,(b)接收太慢,沒有及時(shí)讀?。┏龜?shù)寄存器(波特率分頻系數(shù))(DX)=3F8H, OUT DX,AL54例題 01年考題:13.若系統(tǒng)采用異步串行數(shù)據(jù)傳送方式,每個(gè)字符傳送格式為數(shù)據(jù)位8位,奇偶校驗(yàn)位1位,停止位2位,如其波特率為9600BPS,則每秒鐘最多能傳輸?shù)淖址麛?shù)是 D 。 A. 9600 B.1200 C.872 D.800 E.738 串行異步通信格式(數(shù)據(jù))t55例題 習(xí)題5(2).3 8250的主參考時(shí)鐘頻率為1.8432MHZ,其BAUDROUT和RCLK相連。試完成以下要求的初始化程序設(shè)計(jì): 每字符的數(shù)據(jù)位數(shù)位7,1位停止位,奇校驗(yàn)

29、,波特率為1200B/S,允許發(fā)送器空中斷。設(shè)8250的端口及寄存器地址從02C0H開始。 波特率為1200B/S,對應(yīng)除數(shù)=0060H ,P292表5.11 設(shè)寄存器首地址02C0H,P289表5.10 56例題 MOV DX, 02C0H+3;線路控制寄存器MOV AL, 80H;DLAB=1OUT DX, ALMOV DX, 02C1H;訪問的是除數(shù)寄存器MOV AL, 0OUT DX, ALDEC DX ;也可以MOV DX, 2C0HMOV AL, 60HOUT DX, AXMOV DX, 2C0H+3;線路控制寄存器 DLAB=0MOV AL, 00001010B ;數(shù)據(jù)位數(shù)位7,

30、1位停止位,奇校驗(yàn)OUT DX, ALMOV DX, 2C1H ;訪問的是中斷允許寄存器MOV AL, 00000010BOUT DX, AL57例題 習(xí)題5(2).4如兩臺(tái)計(jì)算機(jī)利用8250進(jìn)行串行通訊。通訊規(guī)程如下: 異步傳送,波特率為2400B/S,每字符的數(shù)據(jù)位為8位,1位停止位,無校驗(yàn)。試完成下列程序設(shè)計(jì): 發(fā)送程序:將數(shù)據(jù)段中以T_BUF為起始地址的一組數(shù)據(jù)送到收方,字符串的長度存于字節(jié)變量T_LEN中,發(fā)送時(shí),先發(fā)兩個(gè)起始符AAH和55H,發(fā)送完全部數(shù)據(jù)后,再發(fā)送兩個(gè)結(jié)束符55H和AAH作為發(fā)送結(jié)束標(biāo)志。 接收程序:將接收到的一組數(shù)據(jù)存放在從R_BUF開始的接收緩沖區(qū)中,所收到的

31、數(shù)據(jù)長度存于字節(jié)變量R_LEN中,只統(tǒng)計(jì)數(shù)據(jù)個(gè)數(shù)。如一組數(shù)據(jù)接收正確,將字節(jié)變量ERROR置為00H,否則置為FFH。58例題 MOV DX, 3FBH;線路控制寄存器MOV AL, 80H;DLAB=1OUT DX, AL;波特率為2400B/S,對應(yīng)除數(shù)=0030HMOV DX, 3F9H;訪問的是除數(shù)寄存器MOV AL, 0OUT DX, ALMOV DX, 3F8HMOV AL, 30HOUT DX, AL59例題 ; 發(fā)送方T1: MOV DX, 3FDH;線路狀態(tài) IN AL, DX TEST AL, 20H;發(fā)送寄存器空 JZ T1 MOV AL, 0AAH;發(fā)兩個(gè)字符 MOV

32、DX, 3F8H;發(fā)送寄存器 OUT DX, AL CALL SREGCK;檢查發(fā)送寄存器 MOV AL, 55H MOV DX, 3F8H OUT DX, AL CALL SREGCK MOV CL, T_LEN; MOV SI, T_BUF; TLOOP: MOV AL, SI MOV DX, 3F8H;發(fā)送寄存器 OUT DX, AL CALL SREGCK;每發(fā)完,檢查 INC SI DEC CL JNE TLOOP MOV AL, 0AAH;再發(fā)兩個(gè)字符 MOV DX, 3F8H; OUT DX, AL CALL SREGCK MOV AL, 55H MOV DX, 3F8H OUT

33、 DX, AL CALL SREGCK 60例題 接收:R1: CALL RBUFCK;接受就緒檢查 MOV DX, 3F8H ;接受寄存器 IN AL, DX CMP AL, 0AAH ;檢查起始字符 JNZ R1 MOV DX, 3F8H IN AL, DX CMP AL, 55H JNZ R1 MOV AL, 0 MOV R_LEN, AL MOV SI, OFFSET R_BUFR2: MOV DX, 3F8H IN AL, DX;收數(shù)據(jù) CMP AL, 55H;是否收到結(jié)束標(biāo)志 JZ R3 MOV SI, AL INC R_LEN INC SI JMP R2R3: MOV DX, 3

34、F8H IN AL, DX CMP AL, 0AAH;是否收到結(jié)束標(biāo)志 JZ R4 MOV AL, 0FFH MOV ERROR, ALR4: MOV AL, 00 MOV ERROR, AL RBUFCK PROC NEAR MOV DX, 3FDH;讀線路狀態(tài)寄存器 IN AL, DX TEST AL, 01 JZ RBUFCK RET定義子過程61DMAC 直接存儲(chǔ)器訪問 8237基本概念、DMAC的作用(原理): I/O外設(shè)與存儲(chǔ)器之間高速數(shù)據(jù)交換;外設(shè)或存儲(chǔ)器通過AEN信號區(qū)分MPU/DMAC之一控制總線;CPUDMAC外設(shè)HLDAi=0,1,2,3 每個(gè)通道可獨(dú)立響應(yīng)外部DMA請求

35、HRQDREQiDACKi62DMAC 直接存儲(chǔ)器訪問 823702年考題:10. 在8086/8088系統(tǒng)中,不需要CPU控制,也不經(jīng)過CPU的數(shù)據(jù)輸入輸出方式是 。A無條件傳送 B查詢傳送 CDMA傳送 D中斷傳送01年考題:10. 在8086/8088系統(tǒng)中,不需要CPU控制,也不經(jīng)過CPU的數(shù)據(jù)輸入輸出方式是 。A無條件傳送 B查詢傳送 CDMA傳送 D中斷傳送11年B卷:15. 單i8237DMA控制器可支持4個(gè)通道的DMA,若采用DMA控制器兩級級連方式,則8237最多可以實(shí)現(xiàn) 通道的DMA。(A)4 (B)8 (C) 12 (D)16 (E)64 63第二次習(xí)題(復(fù)習(xí))課第4部分

36、:半導(dǎo)體存儲(chǔ)器第5部分: 數(shù)字量輸入輸出總線、接口概念*接口電路(芯片)、端口地址* 數(shù)據(jù)傳送方式* 中斷電路及其處理*定時(shí)/計(jì)數(shù)器電路與應(yīng)用* 并行接口電路與應(yīng)用* 串行接口電路與應(yīng)用DMA電路與應(yīng)用第6部分:模擬量輸入輸出64模擬量接口A/D-D/A 基本概念:多路轉(zhuǎn)換開關(guān)MUX和采樣保持器S/H的主要作用;ADC雙積分、逐次逼近、V/F變換型ADC0809:8位8通道逐次逼近型模數(shù)轉(zhuǎn)換器;AD574A:12位單通道;精度: 相對滿量程而言,分辨率:對信號靈敏度,與位數(shù)相關(guān);Vref對轉(zhuǎn)換精度的影響;模擬地-數(shù)字地: 相同基準(zhǔn),單點(diǎn)相連掌握: 接口編程ADC/DAC的轉(zhuǎn)換控制Vo = -

37、VrefRfRD25665模擬量接口A/D-D/A 01年考題:16. ADC0809是8位逐次比較式模數(shù)轉(zhuǎn)換器,在接口系統(tǒng)中設(shè)計(jì)Vref(REF+)選用+4.00V電壓基準(zhǔn)源(REF-接模擬地),如果讀取的轉(zhuǎn)換數(shù)字量=40H,則此時(shí)輸入信號電壓為_。 (A)4.00V (B)3.00V (C)2.00V (D)1.00V (E)0.00V11年B卷:14模擬量輸入輸出通道中多路轉(zhuǎn)換開關(guān)(Multiplexer)的作用是_。A.配合逐次比較式ADCB.從多路模擬信號中選擇一路輸出C. 從多路數(shù)字信號中選擇一路輸出D.實(shí)現(xiàn)輸入信號的程控放大調(diào)理66模擬量接口A/D-D/A接口電路簡單互連:接口電

38、路與簡單I/O類似(1)數(shù)據(jù)線:8位,D7D0 通常同名互連(2)地址線:經(jīng)譯碼電路產(chǎn)生片選信號,其中若干連至芯片/CS,/CE 74LS138(3)控制信號線:/RD, /IORD 接/OE(輸出允許)/WR, /IOWR 接/WE(寫入允許,/LE,START,ALE等) 無獨(dú)立片選芯片67模擬量接口A/D-D/A根據(jù)指定端口地址,利用I/O指令接口應(yīng)用程序片斷編程: DA:先送出8位/12位數(shù)據(jù),再啟動(dòng)DAC AD:先啟動(dòng)轉(zhuǎn)換START/ALE,延時(shí)或判斷EOC再讀取結(jié)果應(yīng)用:按設(shè)計(jì)要求處理后保存到變量單元或輸出:MOV DX, INPORTIN AL, DXMOV V1, AL ;處理

39、(例如數(shù)字濾波平均)MOV DX, OUTPORTOUT DX, AL提示: AD/DA程序片斷運(yùn)行的方式(除初始化芯片和單元外),一般為定時(shí)執(zhí)行或中斷執(zhí)行; 如需要記憶以前信息(用于比較等),一般存放在內(nèi)存單元中而非寄存器中。68例題課本P344:圖6.27, ADC0809+i8255習(xí)題6.4:綜合設(shè)計(jì)型ADC0809設(shè)計(jì)一個(gè)數(shù)據(jù)采集系統(tǒng),采用中斷方式,EOC接至8259A的IRQ2,每隔200s采樣一個(gè)數(shù)據(jù)。試完成: 硬件設(shè)計(jì),畫出連線圖(不包括8259A); 軟件設(shè)計(jì),包括8255A、8253的初始化及中斷服務(wù)程序。難度考試(不要求8255的初始化)08年試題:三、接口與設(shè)計(jì)69習(xí)題 08年試題8086/8088 系統(tǒng)采用ADC0809 和8255 接口芯片采集8 個(gè)輸入通道的信息,并轉(zhuǎn)換成對應(yīng)的電壓數(shù)字量,輸出到4 個(gè)LED 顯示器顯示(其中:1 個(gè)LED 顯示通道數(shù)0-7,3 個(gè)LED 顯示相應(yīng)通道的電壓值)。START:AD 轉(zhuǎn)換啟動(dòng)信號,輸入,高電平有效。ALE:地址鎖存允許信號,輸入、高電平有效。OE:輸出允許信號,輸出、高電平有效。EOC:AD 轉(zhuǎn)換結(jié)束信號,輸出、高電平有效。低位地址片內(nèi)譯碼高位地址片選譯碼70習(xí)題 08年試題(35分)(1)說明8255A 的PA 、PB 和PC 口的工作方式及各口的作用;答:PA 口工作于方式0 輸出

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論