數(shù)字系統(tǒng)設(shè)計試卷:2011年_數(shù)字系統(tǒng)設(shè)計試題20A卷_第1頁
數(shù)字系統(tǒng)設(shè)計試卷:2011年_數(shù)字系統(tǒng)設(shè)計試題20A卷_第2頁
數(shù)字系統(tǒng)設(shè)計試卷:2011年_數(shù)字系統(tǒng)設(shè)計試題20A卷_第3頁
數(shù)字系統(tǒng)設(shè)計試卷:2011年_數(shù)字系統(tǒng)設(shè)計試題20A卷_第4頁
全文預(yù)覽已結(jié)束

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)

文檔簡介

1、姓名 學(xué)號 學(xué)院 專業(yè) 座位號 ( 密 封 線 內(nèi) 不 答 題 )密封線線_ _ 誠信應(yīng)考,考試作弊將帶來嚴(yán)重后果! 華南理工大學(xué)期末考試數(shù)字系統(tǒng)設(shè)計試卷A注意事項:1. 考前請將密封線內(nèi)各項信息填寫清楚; 2. 所有答案請在答題紙上答題,試卷上答題無效; 3考試形式:開(閉)卷; 4. 本試卷共 三 大題,滿分100分,考試時間120分鐘。題 號一二三總分得 分評卷人一簡答題(共24分)1簡述數(shù)字系統(tǒng)設(shè)計的四個層次,并說明每個層次主要完成的工作。(8分)2簡述以下幾個關(guān)于時間的概念。(8分)(1)建立時間(2)保持時間(3)傳播延時(4)時序電路最大工作頻率估算公式3. 寫出CPLD和FPG

2、A的英文或中文全稱,分別寫出CPLD和FPGA的內(nèi)部主要結(jié)構(gòu)。(8分)二. 分析題(33分)1寫出情況(1)下的程序的庫文件和實體,并畫出(1)和(2)條件下的對應(yīng)波形。(10分)1)當(dāng)tmp為信號時,q1的波形;2)當(dāng)tmp為變量時,q2的波形。Clk和rst波形如下圖所示。architecture beh1 of sig_var issignal tmp:std_logic_vector(3 downto 0);begin process(clk,rst,tmp) begin if rst=1 then tmp=0000; elsif clkevent and clk=1 then tmp

3、=tmp+1; q1=tmp; end if; end process;end beh1;architecture beh2 of sig_var isbegin process(clk,rst) variable tmp:std_logic_vector(3 downto 0);begin if rst=1 then tmp:=0000; elsif clkevent and clk=1 then tmp:=tmp+1; q2=tmp; end if; end process;end beh2;2有一個傳輸門,其慣性延時時間為4ns。根據(jù)下述賦值語句和給定的波形,畫出對應(yīng)Z1,Z2和Z3的波

4、形圖。(6分)(1)Z1=Vi (2)Z2 =Vi after 4 ns (3)Z3 = TRANSPORT Vi AFTER 3 ns3根據(jù)給定的A的波形,分別畫出(a)、(b)和(c)程序的S1、S2和S3對應(yīng)的波形,其中S1S3為integer.(9分) IF A = 4 THEN IF A = 4 THEN IF A = 4 THEN S1=1; S2=1; S3=1; END IF; ELSIF A = 5 THEN ELSE IF A = 5 THEN S2=2; S3=2; S1=2; END IF; END IF; END IF; (a) (b) (c)4按要求轉(zhuǎn)換下列程序。(

5、8分)(1)將下列程序替換為wait語句。(3分)process (clk) begin if clk = 1 and clkevent then q = data; end if;end process;(2)將下列程序替換為if語句,請不要改變條件的順序性。(5分)y = 11 when a(3)=1 else 10 when a(2)=1 else 01 when a(1)=1 else 00 when a(0)=1 else 00;三、電路設(shè)計題 (43分)1簡述仿真測試平臺的基本架構(gòu)(4分);試用VHDL語言編寫測試平臺文件(Testbench),要求testbench產(chǎn)生的時鐘周期

6、為100ns,占空比50%;復(fù)位信號0電平有效,且起始時刻為0,100ns后變?yōu)?。(12分)。被測文件的實體如下所示:ENTITY sin_gen IS PORT(rst : IN STD_LOGIC;clk : IN STD_LOGIC;q : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);END sin_gen;2系統(tǒng)有三臺設(shè)備,分別稱為設(shè)備1、設(shè)備2和設(shè)備3。試用有限狀態(tài)機(FSM)實現(xiàn)此3個設(shè)備請求共享資源的。請求信號r1、r2、r3分別代表上述3個設(shè)備的請求,認(rèn)可信號g1、g2和g3代表設(shè)備1、設(shè)備2和設(shè)備3得到共享資源。設(shè)備被分配給不同的優(yōu)先級,設(shè)備1的優(yōu)先級最高,設(shè)備2的優(yōu)先級其次,設(shè)備3的優(yōu)先級最低。因此,若多個設(shè)備同時發(fā)出請求信號,則FSM將把認(rèn)可信號發(fā)給提出請求設(shè)備中優(yōu)先級最高的設(shè)備。(1)試畫出上述仲裁器的ASM圖;(6分)(2)上述狀態(tài)機中,若設(shè)備1和設(shè)備2不斷提出請求,則設(shè)備3永遠得不到服務(wù)的機會,即使在空閑狀態(tài),不是設(shè)備1就是設(shè)備2總是提出

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論