畢業(yè)設(shè)計(jì)電容測(cè)試儀設(shè)計(jì)說明_第1頁
畢業(yè)設(shè)計(jì)電容測(cè)試儀設(shè)計(jì)說明_第2頁
畢業(yè)設(shè)計(jì)電容測(cè)試儀設(shè)計(jì)說明_第3頁
畢業(yè)設(shè)計(jì)電容測(cè)試儀設(shè)計(jì)說明_第4頁
畢業(yè)設(shè)計(jì)電容測(cè)試儀設(shè)計(jì)說明_第5頁
已閱讀5頁,還剩29頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、 . PAGE- 30 - / NUMPAGES34 編號(hào)畢業(yè)設(shè)計(jì)題 目電容測(cè)試儀設(shè)計(jì)學(xué)生學(xué) 號(hào)系 部專 業(yè)班 級(jí)指導(dǎo)教師電容測(cè)試儀設(shè)計(jì)摘 要隨著電子工業(yè)的發(fā)展,電子元器件急劇增加,電子元器件的適用圍也逐漸廣泛起來,在應(yīng)用中我們常常要測(cè)定電容的大小。因此,設(shè)計(jì)可靠,安全,便捷的電容測(cè)試儀具有極大的現(xiàn)實(shí)必要性。在系統(tǒng)硬件設(shè)計(jì)中,以STC89C52RC單片機(jī)為核心的電容測(cè)試儀,使用對(duì)應(yīng)的振蕩電路轉(zhuǎn)化為頻率實(shí)現(xiàn)參數(shù)的測(cè)量。電容是采用555多諧振蕩電路產(chǎn)生的,將振蕩頻率送入STC89C52RC的計(jì)數(shù)端端口,通過定時(shí)并且計(jì)數(shù)可以計(jì)算出被測(cè)頻率,再通過該頻率計(jì)算出被測(cè)參數(shù)。在系統(tǒng)軟件設(shè)計(jì)中,是以Keil4

2、.0為仿真平臺(tái),使用C語言編程編寫了運(yùn)行程序;包括主程序模塊、顯示模塊、電容測(cè)試模塊。最后,實(shí)際制作了一臺(tái)樣機(jī),在實(shí)驗(yàn)室里進(jìn)行了測(cè)試,結(jié)果表明該樣機(jī)的功能和指標(biāo)得到了設(shè)計(jì)要求。關(guān)鍵詞:?jiǎn)纹瑱C(jī),555多諧振蕩電路,1602液晶屏The design of Capacitance testerAbstractWith the development of electronic industry,electronic components rapidly increased the scope of electronic components widely up gradually,in appli

3、cations we often measured capacitors size.Therefore,the design of reliable,safe,convenient capacitance tester of great practical necessity.In the system hardware design,take the MCS-51 monolithic integrated circuit as the core resistance,the use correspondences oscillating circuit transforms for the

4、 frequency realizes each parameter survey. And the electric capacity is use 555 multi resonant circuits to produce,the oscillation frequency will send STC89C52RC the counting to be neat,through and fixed time counts may calculate by the frequency measurement rate,figures out again through this frequ

5、ency meter is measured the parameter.In systems software design is take Keil4.0 as the simulation platform,used the C language programming has compiled the system application software;including master routine module,display module,display module,electric capacity test module and inductance test modu

6、le.Finally,the actual production of a prototype,tested in the laboratory results show that the prototype of the functions and indicators are the design requirements.Key Words:Single slice of machine;555 multi resonant circuit; 1602 dynamic display module目 錄摘 要Abstract第一章 引 言11.1 設(shè)計(jì)背景與意義11.2 電容測(cè)試儀的發(fā)展

7、歷史和研究現(xiàn)狀11.3 本設(shè)計(jì)所做的工作1第二章 電容測(cè)試儀的系統(tǒng)設(shè)計(jì)32.1 電容測(cè)試儀設(shè)計(jì)方案比較32.2 系統(tǒng)的原理框圖4第三章 電容測(cè)試儀系統(tǒng)的硬件設(shè)計(jì)53.1 RC振蕩電路的設(shè)計(jì)53.1.1 555定時(shí)器簡(jiǎn)介53.1.2 RC振蕩電路的設(shè)計(jì)83.2 單片機(jī)電路的設(shè)計(jì)93.2.1 單片機(jī)的選擇-STC89C52RC93.2.2 單片機(jī)時(shí)鐘電路設(shè)計(jì)113.2.3 單片機(jī)復(fù)位電路設(shè)計(jì)133.2.4 單片機(jī)定時(shí)器/計(jì)數(shù)器設(shè)置153.3 顯示電路的設(shè)計(jì)163.3.1 液晶顯示器的選擇163.3.2 顯示電路設(shè)計(jì)17第四章 電容測(cè)試儀系統(tǒng)的軟件設(shè)計(jì)184.1 主程序流程圖184.2 頻率參數(shù)計(jì)算

8、的原理18 PCB板的設(shè)計(jì)與系統(tǒng)的調(diào)試205.1 Protel99SE介紹與PCB板的設(shè)計(jì)205.2 系統(tǒng)的調(diào)試225.3 系統(tǒng)的測(cè)試23第六章 總結(jié)與展望256.1 工作總結(jié)256.2 技術(shù)展望25參考文獻(xiàn)27致28附錄29附錄 系統(tǒng)原理圖與PCB29第一章 引 言1.1 設(shè)計(jì)背景與意義目前,隨著電子工業(yè)的發(fā)展,電子元器件急劇增加,電子元器件的適用圍也逐漸廣泛起來,在應(yīng)用中我們常常要測(cè)定電容的大小。因此,設(shè)計(jì)可靠,安全,便捷的電容測(cè)試儀具有極大的現(xiàn)實(shí)必要性。通常情況下,電路參數(shù)的數(shù)字化測(cè)量是把被測(cè)參數(shù)傳換成直流電壓或頻率后進(jìn)行測(cè)量。由于測(cè)量電容方法多并具有一定的復(fù)雜性,所以本次設(shè)計(jì)是在參考5

9、55定時(shí)器基礎(chǔ)上擬定的一套自己的設(shè)計(jì)方案。是嘗試用555定時(shí)器將被測(cè)參數(shù)轉(zhuǎn)化為頻率,這里我們將RLC的測(cè)量電路產(chǎn)生的頻率送入STC89C52RC的計(jì)數(shù)端端口,通過定時(shí)并且計(jì)數(shù)可以計(jì)算出被測(cè)頻率再通過該頻率計(jì)算出各個(gè)參數(shù)。1.2 電容測(cè)試儀的發(fā)展歷史與研究現(xiàn)狀當(dāng)今電子測(cè)試領(lǐng)域,電容測(cè)量已經(jīng)在測(cè)量技術(shù)和產(chǎn)品研發(fā)中應(yīng)用的十分廣泛。電容測(cè)試發(fā)展已經(jīng)很久,方法眾多,常見測(cè)量方法如下。近年來我國測(cè)量?jī)x器的可靠性和穩(wěn)定性問題得到了很多方面的重視,狀況有了很大改觀。測(cè)試儀器行業(yè)目前已經(jīng)越過低谷階段,重新回到了快速發(fā)展的軌道,尤其最近幾年,中國本土儀器取得了長(zhǎng)足的進(jìn)步,特別是通用電子測(cè)量設(shè)備研發(fā)方面,與國外先進(jìn)

10、產(chǎn)品的差距正在快速縮小,對(duì)國外電子儀器巨頭的壟斷造成了一定的沖擊。隨著模塊化和虛擬技術(shù)的發(fā)展,為中國的測(cè)試測(cè)量?jī)x器行業(yè)帶來了新的契機(jī),加上各級(jí)政府日益重視,以與中國自主應(yīng)用標(biāo)準(zhǔn)研究的快速進(jìn)展,都在為該產(chǎn)業(yè)提供前所未有的動(dòng)力和機(jī)遇。從中國電子信息產(chǎn)業(yè)統(tǒng)計(jì)年鑒中可以看出,中國的測(cè)試測(cè)量?jī)x器每年都以超過30%以上的速度在快速增長(zhǎng)。在此快速增長(zhǎng)的過程中,無疑催生出了許多測(cè)試行業(yè)新創(chuàng)企業(yè),也催生出了一批批可靠性和穩(wěn)定性較高的產(chǎn)品。1.3 本設(shè)計(jì)所做的工作本設(shè)計(jì)是以555為核心的振蕩電路,將被測(cè)參數(shù)模擬轉(zhuǎn)化為頻率,并利用單片機(jī)計(jì)算頻率,所以,本畢業(yè)設(shè)計(jì)需要做好以下工作:學(xué)習(xí)單片機(jī)原理等材料;學(xué)習(xí)Prote

11、l99SE,Keil4.0等工具軟件的使用;設(shè)計(jì)測(cè)量電容的振蕩電路;設(shè)計(jì)LED動(dòng)態(tài)顯示電路;設(shè)計(jì)軟件程序;用Protel99SE軟件繪制電路原理圖和PCB圖;安裝和調(diào)試,實(shí)際測(cè)試,記錄測(cè)試數(shù)據(jù)與結(jié)果。 電容測(cè)試儀的系統(tǒng)設(shè)計(jì)2.1 電容測(cè)試儀設(shè)計(jì)方案比較電容測(cè)試儀的設(shè)計(jì)可用多種方案完成,例如使用純模擬電路,使用可編程邏輯控制器(PLC),CPLD與EDA相結(jié)合或振蕩電路與單片機(jī)結(jié)合等等來實(shí)現(xiàn)。在設(shè)計(jì)前對(duì)各種方案進(jìn)行了比較:(1)利用純模擬電路雖然避免了編程的麻煩,但電路復(fù)雜,所用器件較多,靈活性差,測(cè)量精度低,現(xiàn)在已較少使用。 (2)可編程邏輯控制器(PLC) 應(yīng)用廣泛,它能夠非常方便地集成到工

12、業(yè)控制系統(tǒng)中。其速度快,體積小,可靠性和精度都較好,在設(shè)計(jì)中可采用PLC對(duì)硬件進(jìn)行控制,但是用PLC實(shí)現(xiàn)價(jià)格相對(duì)昂貴,因而成本過高。 (3)采用CPLD或FPGA實(shí)現(xiàn)應(yīng)用目前廣泛應(yīng)用的VHDL硬件電路描述語言,實(shí)現(xiàn)電阻,電容,電感測(cè)試儀的設(shè)計(jì),利用MAXPLUS = 2 * ROMAN II集成開發(fā)環(huán)境進(jìn)行綜合、仿真,并下載到CPLD或FPGA可編程邏輯器件中,完成系統(tǒng)的控制作用。但相對(duì)而言規(guī)模大,結(jié)構(gòu)復(fù)雜。(4)利用振蕩電路與單片機(jī)結(jié)合利用555多諧振蕩電路將電容參數(shù)轉(zhuǎn)化為頻率,這樣就能夠把模擬量近似的轉(zhuǎn)換為數(shù)字量,而頻率f是單片機(jī)很容易處理的數(shù)字量,一方面測(cè)量精度高,另一方面便于使儀表實(shí)現(xiàn)

13、自動(dòng)化,而且單片機(jī)構(gòu)成的應(yīng)用系統(tǒng)有較大的可靠性。系統(tǒng)擴(kuò)展、系統(tǒng)配置靈活,容易構(gòu)成各種規(guī)模的應(yīng)用系統(tǒng)。單片機(jī)具有可編程性,硬件的功能描述可完全在軟件上實(shí)現(xiàn),而且設(shè)計(jì)時(shí)間短,成本低,可靠性高。綜上所述,利用振蕩電路與單片機(jī)結(jié)合實(shí)現(xiàn)電容測(cè)試儀更加簡(jiǎn)便可行,節(jié)約成本。所以,本次設(shè)計(jì)選定以單片機(jī)為核心來進(jìn)行。2.2 系統(tǒng)的原理框圖本設(shè)計(jì)的設(shè)計(jì)思想是把電容C通過RC振蕩轉(zhuǎn)換成頻率信號(hào)f,這樣就把模擬量轉(zhuǎn)化為數(shù)字量,然后送入核心進(jìn)行計(jì)數(shù)后再對(duì)數(shù)據(jù)進(jìn)行處理和運(yùn)算求出被測(cè)電容的值,并送顯示器顯示。首先設(shè)計(jì)RC振蕩電路,接入被測(cè)電容后,由555定時(shí)器構(gòu)成振蕩器產(chǎn)生方波。然后,把此脈沖信號(hào)通過接口傳到STC89C5

14、2RC單片機(jī)上,對(duì)此脈沖信號(hào)進(jìn)行計(jì)數(shù),通過軟件編程,使之轉(zhuǎn)換成電容值,最后由1602液晶屏顯示電容值。系統(tǒng)主要由測(cè)量電路和控制電路兩部分組成。測(cè)量電路主要用于產(chǎn)生RC振蕩頻率f,而控制電路則用于對(duì)所產(chǎn)生的振蕩頻率進(jìn)行計(jì)數(shù)處理控制并送顯示等。系統(tǒng)設(shè)計(jì)框圖如圖2.2如下所示。圖2.1 系統(tǒng)設(shè)計(jì)框圖框圖各部分說明如下:(1)測(cè)量部分:該部分的功能是把電容C通過RC振蕩轉(zhuǎn)換成頻率信號(hào)f,這樣就把模擬量轉(zhuǎn)化為數(shù)字量。本設(shè)計(jì)中RC振蕩是利用555定時(shí)器的振蕩電路產(chǎn)生的。由于555部的比較器靈敏度較高,而且采用差分電路形式,它的振蕩頻率受電源電壓和溫度變化的影響很小,故能保證檢測(cè)結(jié)果的準(zhǔn)確性。通過MCS -

15、 51單片機(jī)的I/O口自動(dòng)識(shí)別,實(shí)現(xiàn)自動(dòng)測(cè)量。(2)控制部分:本設(shè)計(jì)以STC89C51RC單片機(jī)為核心,利用其管腳的特殊功能以與所具備的中斷系統(tǒng),定時(shí)/計(jì)數(shù)器和LED顯示功能等。本設(shè)計(jì)中采用1602液晶屏構(gòu)成顯示器,直觀易懂,操作簡(jiǎn)單,且能降低功耗。 電容測(cè)試儀系統(tǒng)的硬件設(shè)計(jì)3.1 RC振蕩電路的設(shè)計(jì)建立振蕩,就是要使電路自激,從而產(chǎn)生持續(xù)的振蕩,由直流電變?yōu)榻涣麟?,?duì)于RC振蕩電路來說,直流電源即是能源,自激的因素是微弱的信號(hào)經(jīng)過放大,通過正反饋的選頻網(wǎng)絡(luò),使輸出幅度越來越大,最后受電路中非線性元件的限制,使振蕩幅度自動(dòng)地穩(wěn)定下來,為此采用555定時(shí)器來產(chǎn)生RC振蕩頻率。3.1.1 555定

16、時(shí)器簡(jiǎn)介555定時(shí)器是一種模擬電路和數(shù)字電路相結(jié)合的中規(guī)模集成器件,它性能優(yōu)良,適用圍很廣,外部加接少量的阻容元件可以很方便地組成單穩(wěn)態(tài)觸發(fā)器和多諧定時(shí)器,以與不需外接元件就可組成施密特觸發(fā)器。因此集成555定時(shí)被廣泛應(yīng)用于脈沖波形的產(chǎn)生與變換、測(cè)量與控制等方面。(1)555定時(shí)器部結(jié)構(gòu)555定時(shí)器是一種模擬電路和數(shù)字電路相結(jié)合的中規(guī)模集成電路,其部結(jié)構(gòu)如 REF _Ref282775146 h 圖3.1(A)部分與管腳排列如圖3.1(B)部分所示。圖3.1 555定時(shí)器部結(jié)構(gòu)它由分壓器、比較器、基本R-S觸發(fā)器和放電三極管等部分組成。分壓器由三個(gè)5K的等值電阻串聯(lián)而成。分壓器為比較器N1、N

17、2提供參考電壓,比較器N1的參考電壓為,加在同相輸入端,比較器N2的參考電壓為 QUOTE ,加在反相輸入端。比較器由兩個(gè)結(jié)構(gòu)一樣的集成運(yùn)放N1、N2組成。高電平觸發(fā)信號(hào)加在N1的反相輸入端,與同相輸入端的參考電壓比較后,其結(jié)果作為基本R-S觸發(fā)器 QUOTE 端的輸入信號(hào);低電平觸發(fā)信號(hào)加在N2的同相輸入端,與反相輸入端的參考電壓比較后,其結(jié)果作為基本R-S觸發(fā)器 QUOTE 端的輸入信號(hào)?;綬-S觸發(fā)器的輸出狀態(tài)受比較器N1、N2的輸出端控制。多諧定時(shí)器工作原理由555定時(shí)器組成的多諧定時(shí)器如 REF _Ref282775243 h 圖3.2(C)部分所示,其中R1、R2和電容C為外接元

18、件。其工作波如圖3.2(D)部分所示。圖3.2 定時(shí)器工作原理設(shè)電容的初始電壓Uc0,t0時(shí)接通電源,由于電容電壓不能突變,所以高、低觸發(fā)端VTHVTL0 QUOTE ,比較器N1輸出為高電平,N2輸出為低電平,即 QUOTE =1, QUOTE =0(1表示高電位,0表示低電位),R-S觸發(fā)器置1,定時(shí)器輸出u0=1此時(shí)=0 QUOTE ,定時(shí)器部放電三極管截止,電源Vcc經(jīng)R1,R2向電容C充電,uc逐漸升高。當(dāng)uc上升到 QUOTE 時(shí),N2輸出由0翻轉(zhuǎn)為1,這時(shí) QUOTE = QUOTE =1,R-S觸發(fā)順保持狀態(tài)不變。所以0tt1期間,定時(shí)器輸出u0為高電平1。t=t2時(shí)刻,uc上

19、升到 QUOTE ,比較器N1的輸出由1變?yōu)?,這時(shí) QUOTE =0, QUOTE =1,R-S觸發(fā)器復(fù)0,定時(shí)器輸出u0=0。 QUOTE t1tt2期間,=1 QUOTE ,放電三極管T導(dǎo)通,電容C通過R2放電。uc按指數(shù)規(guī)律下降,當(dāng)uc時(shí)比較器N1輸出由0變?yōu)?,R-S觸發(fā)器的= QUOTE =1,Q的狀態(tài)不變,u0的狀態(tài)仍為低電平。t=t2時(shí)刻,uc下降到 QUOTE ,比較器N2輸出由1變?yōu)?,R-S觸發(fā)器的 QUOTE =1, QUOTE =0,觸發(fā)器處于1,定時(shí)器輸出u0=1。此時(shí)電源再次向電容C放電,重復(fù)上述過程。通過上述分析可知,電容充電時(shí),定時(shí)器輸出u0=1,電容放電時(shí),

20、u0=0,電容不斷地進(jìn)行充、放電,輸出端便獲得矩形波。多諧定時(shí)器無外部信號(hào)輸入,卻能輸出矩形波,其實(shí)質(zhì)是將直流形式的電能變?yōu)榫匦尾ㄐ问降碾娔堋?3)振蕩周期由圖(D)可知,振蕩周期。T1為電容充電時(shí)間,T2為電容放電時(shí)間。充電時(shí)間: (3-1)放電時(shí)間: (3-2)矩形波的振蕩周期: (3-3)對(duì)于矩形波,除了用幅度,周期來衡量外,還有一個(gè)參數(shù):占空比q,q=(脈寬tw)/(周期T),tw指輸出一個(gè)周期高電平所占的時(shí)間。圖(C)所示電路輸出矩形波的占空比: QUOTE QUOTE QUOTE QUOTE QUOTE (3-4)3.1.2 RC振蕩電路的設(shè)計(jì)本電容測(cè)試儀的設(shè)計(jì)采用的“脈沖計(jì)數(shù)法”

21、,就利用了555芯片產(chǎn)生多諧振蕩這一原理來設(shè)計(jì)的。由555芯片構(gòu)成的多諧振蕩電路,通過計(jì)算振蕩輸出的頻率來計(jì)算被測(cè)電容的大小。RC振蕩電路輸出的是矩形波脈沖,振蕩器的輸出口要接到STC89C52RC單片機(jī)的輸入引腳P3.5。這是因?yàn)門0設(shè)置為定時(shí)器,計(jì)部脈沖,STC89C52RC單片機(jī)設(shè)置為計(jì)數(shù)器計(jì)外部脈沖。電路原理圖如圖3.3。圖3.3 RC振蕩電路接通電源后,C2、CX被充電,A點(diǎn)電壓VC上升。當(dāng)VC上升到時(shí),觸發(fā)器被復(fù)位,同時(shí)555芯片部放電三極管導(dǎo)通,此時(shí)V0為低電平。C2、CX通過R2和放電三極管放電,使VC下降。當(dāng)VC下降到時(shí),觸發(fā)器又被置位,V0翻轉(zhuǎn)為高電平。C2、CX放電所需的

22、時(shí)間為:(3-5)式中:當(dāng)放電結(jié)束時(shí),放電三極管截止,VCC通過R1、R2向C2、CX充電,V由上升到所需的時(shí)間為:(3-6)當(dāng)VC上升到時(shí),觸發(fā)器翻轉(zhuǎn),如此周而復(fù)始,在輸出端得到一個(gè)周期性的方波,其頻率為:(3-7)根據(jù)555多諧振蕩器頻率計(jì)算公式與電容串聯(lián)計(jì)算公式可推出:(3-8)整理得:(3-9)由上式可知,當(dāng)電路設(shè)計(jì)完成后,所有參數(shù)除頻率f外均為定值,且f隨CX的變化而唯一改變。當(dāng)電容較小時(shí),脈沖頻率之間相差上百甚至上千,電路中的電阻應(yīng)做相應(yīng)的調(diào)整。記錄一秒鐘脈沖的數(shù)量,由查表可得到相對(duì)應(yīng)的電容值。3.2 單片機(jī)電路的設(shè)計(jì)3.2.1 單片機(jī)的選擇-STC89C52RC自1974年美國F

23、airchild公司研制出第一臺(tái)單片機(jī)F8以來,單片機(jī)經(jīng)歷了由4位機(jī)到8位機(jī)再到16位、32位機(jī)的發(fā)展過程。近年來,32位單片機(jī)已進(jìn)入了實(shí)用階段,但是由于8位單片機(jī)在性能價(jià)格比上占有優(yōu)勢(shì),并且8位增強(qiáng)型單片機(jī)在速度和功能上可向現(xiàn)在的16位單片機(jī)挑戰(zhàn),因此在未來相當(dāng)長(zhǎng)的時(shí)期,8位單片機(jī)仍是單片機(jī)的主流機(jī)型。Intel公司于1976年推出了MCS-48系列單片機(jī),于1980年推出了MCS-51系列單片機(jī),于1983年推出了MCS-96系列單片機(jī)。1MCS-51系列單片機(jī)MCS-51系列單片機(jī)是一種高性能的8位單片機(jī),它是在MCS-48系列單片機(jī)的基礎(chǔ)上推出的第二代單片機(jī)。其典型產(chǎn)品為8051,封裝

24、為40引腳。8051單片機(jī)片含有4KB的ROM,ROM中的程序是由單片機(jī)芯片生產(chǎn)廠家固化的,適合于大批量的產(chǎn)品;8751單片機(jī)片含有4KB的EPROM,單片機(jī)應(yīng)用開發(fā)人員可以把編好的程序用開發(fā)機(jī)或編程器寫入其中,需要修改時(shí),可以先用紫外線擦除器擦除,然后再寫入新的程序;8031片沒有程序存儲(chǔ)器,當(dāng)在單片機(jī)芯片外擴(kuò)展EPROM后,就相當(dāng)于一片8751,此種應(yīng)用方式方便靈活。這三種芯片只是在程序存儲(chǔ)器的形式上不同,在結(jié)構(gòu)和功能上都一樣。表3.1為MCS-51系列單片機(jī)常用產(chǎn)品特性一覽表。表3.1 MCS-51系列單片機(jī)常用產(chǎn)品特性一覽表型號(hào)片存儲(chǔ)器(B)I/O口線定時(shí)/計(jì)數(shù)器片外存儲(chǔ)器(B)程序存

25、儲(chǔ)器數(shù)據(jù)存儲(chǔ)器程序存儲(chǔ)器數(shù)據(jù)存儲(chǔ)器80514KROM128322個(gè)16位64K64K87514KEPROM128322個(gè)16位64K64K8031無128322個(gè)16位64K64K80C514KROM128322個(gè)16位64K64K80514KROM128322個(gè)16位64K64K87514KEPROM128322個(gè)16位64K64K8031無128322個(gè)16位64K64K80C514KROM128322個(gè)16位64K64K87C514KEPROM128322個(gè)16位64K64K2其他51系列單片機(jī)(1)AT89系列單片機(jī)AT89系列單片機(jī)是美國ATMEL公司的8位Flash單片機(jī)產(chǎn)品,與M

26、CS-51系列的單片機(jī)軟硬件兼容。該系列中有20引腳封裝的產(chǎn)品,體積的減小使其應(yīng)用更加靈活。時(shí)鐘頻率的提高可使運(yùn)算速度加快。在片含有Flash存儲(chǔ)器,F(xiàn)lash存儲(chǔ)器是一種可以電擦除和電寫入的閃速存儲(chǔ)器(簡(jiǎn)記為FPEROM),這使開發(fā)調(diào)試更為方便。表3.2 AT89系列單片機(jī)常用產(chǎn)品特性一覽表型號(hào)片存儲(chǔ)器I/O口線定時(shí)/計(jì)數(shù)器模擬比較器中斷源串行口程序存儲(chǔ)器數(shù)據(jù)存儲(chǔ)器89C10511KBFEPROM64B151個(gè)16位1個(gè)3個(gè)無89C20512KBFEPROM128B152個(gè)16位1個(gè)5個(gè)2級(jí)UART89C514KBFEPROM128B322個(gè)16位無5個(gè)2級(jí)UART89C528KBFEPRO

27、M256B323個(gè)16位無6個(gè)2級(jí)UART(2)其他MCS-51系列兼容單片機(jī)為了進(jìn)一步增強(qiáng)MCS-51系列單片機(jī)的功能,一些單片機(jī)生產(chǎn)廠商還對(duì)MCS-51系列單片機(jī)的硬件進(jìn)行了擴(kuò)充。如PHILIPS的8XC552系列,在80C51的基礎(chǔ)上增加了一個(gè)16位的定時(shí)/計(jì)數(shù)器和一個(gè)8路輸入的10位A/D轉(zhuǎn)換器,并配有串行總線接口;80C51XA使單片機(jī)位數(shù)增至16位;Intel公司的80C51GA/GB也增加了A/D轉(zhuǎn)換功能。我國宏晶科技STC系列單片機(jī)我國宏晶科技公司的STC系列單片機(jī)具有低成本,速度快,功耗低,兼容性好的特點(diǎn)。設(shè)計(jì)選用宏晶科技的STC89C52RC單片機(jī)作為系統(tǒng)計(jì)算核心。 STC

28、89C52RC單片機(jī)是宏晶科技推出的新一代高速/低功耗/超強(qiáng)抗干擾的單片機(jī),指令代碼完全兼容傳統(tǒng)的8051單片機(jī),12時(shí)鐘/機(jī)器周期和6時(shí)鐘機(jī)器/周期可任意選擇。主要特性如下:增強(qiáng)型8051單片機(jī),6時(shí)鐘/機(jī)器周期和12時(shí)鐘/機(jī)器周期可以任意選擇,指令代碼完全兼容傳統(tǒng)8051.工作電壓:5.5V3.3V(5V單片機(jī))/3.8V2.0V(3V單片機(jī))工作頻率圍:040MHz,相當(dāng)于普通8051的080MHz,實(shí)際工作頻率可達(dá)48MHz用戶應(yīng)用程序空間為8K字節(jié)片上集成512字節(jié)RAM通用I/O口(32個(gè)),復(fù)位后為:P1/P2/P3/P4是準(zhǔn)雙向口/弱上拉,P0口是漏極開路輸出,作為總線擴(kuò)展用時(shí)

29、,不用加上拉電阻,作為I/O口用時(shí),需加上拉電阻。ISP(在系統(tǒng)可編程)/IAP(在應(yīng)用可編程),無需專用編程器,無需專用仿真器,可通過串口(RxD/P3.0,TxD/P3.1)直接下載用戶程序,數(shù)秒即可完成一片具有EEPROM功能具有看門狗功能3.2.2 單片機(jī)時(shí)鐘電路設(shè)計(jì)時(shí)鐘電路用于產(chǎn)生STC89C52RC單片機(jī)工作時(shí)所必需的時(shí)鐘信號(hào)。STC89C52RC本身就是一個(gè)復(fù)雜的同步時(shí)序電路。為保證同步工作方式的實(shí)現(xiàn),STC89C52RC單片機(jī)應(yīng)該在唯一的時(shí)鐘信號(hào)控制下,嚴(yán)格地按時(shí)序執(zhí)行指令進(jìn)行工作。時(shí)鐘是單片機(jī)的心臟,單片機(jī)各功能部件的運(yùn)行都是以時(shí)鐘頻率為基準(zhǔn)的,有條不紊的一拍拍的工作。因此,

30、時(shí)鐘頻率直接影響單片機(jī)的速度,時(shí)鐘電路的質(zhì)量也直接影響單片機(jī)的穩(wěn)定性。常用時(shí)鐘電路有兩種方式,一種是外部時(shí)鐘方式,另一種是部時(shí)鐘方式。外部時(shí)鐘方式外部時(shí)鐘方式是使用外部振蕩脈沖信號(hào),常用于多片STC89C52RC單片機(jī)同時(shí)工作,以便于同步。對(duì)外部脈沖信號(hào)的要求一般為低于12MHz的方波。外部時(shí)鐘源直接接到XTAL2端,直接輸入到片的時(shí)鐘發(fā)生器上。電路見圖3.4。由于XTAL2的邏輯電平不是TTL的,故建議外接一個(gè)4.7K10K的上拉電阻。圖3.4 單片機(jī)外部時(shí)鐘方式電路部時(shí)鐘方式STC89C52RC單片機(jī)部有一個(gè)構(gòu)成振蕩器的高增益發(fā)相放大器,該高增益發(fā)相放大器的輸入端為芯片引腳XTAL1,輸出

31、端為XTAL2。這兩個(gè)引腳跨接石英晶體振蕩器和微調(diào)電容,就構(gòu)成一個(gè)穩(wěn)定的自激振蕩器,圖3.5是STC89C52RC單片機(jī)部時(shí)鐘方式的振蕩器電路。圖3.5 單片機(jī)部時(shí)鐘方式的電路本設(shè)計(jì)采用部時(shí)鐘方式,選用6MHZ的石英晶體。外接電容的大小會(huì)影響晶體振蕩頻率的高低,振蕩器的穩(wěn)定性,起振的快速性。故電路中的外接電容C1,C2選用30pF來保證電路的穩(wěn)定性和精確度,為了提高溫度穩(wěn)定性,采用溫度穩(wěn)定性好的NPO高頻電容。在安裝時(shí),晶體和電容盡可能和單片機(jī)安裝的近一點(diǎn),目的是減少寄生容,更好的保證振蕩器穩(wěn)定可靠的工作。3.2.3 單片機(jī)復(fù)位電路設(shè)計(jì)復(fù)位是單片機(jī)的初始化操作,只要給RESET引腳上加上2個(gè)機(jī)

32、器周期以上的高電平信號(hào),就可使STC89C52RC單片機(jī)復(fù)位。復(fù)位的主要功能是把PC初始化為0000H,是STC89C52RC單片機(jī)從0000H單元開始執(zhí)行程序。除了進(jìn)入系統(tǒng)的正常初始化外,當(dāng)由于程序運(yùn)行出錯(cuò)或操作錯(cuò)誤使系統(tǒng)處于死鎖狀態(tài),為了擺脫死鎖狀態(tài),也可按復(fù)位鍵重新啟動(dòng)。STC89C52RC的復(fù)位是由外部的復(fù)位電路實(shí)現(xiàn)的。復(fù)位引腳RST通過一個(gè)斯密特觸發(fā)器與復(fù)位電路相連,斯密特觸發(fā)器用來抑制噪聲,在每個(gè)機(jī)器周期的S5P2,斯密特觸發(fā)器的輸出電平由復(fù)位電路采樣一次然后才能得到部復(fù)位操作所需要的信號(hào)。最簡(jiǎn)單的上電自動(dòng)復(fù)位電路如圖3.6。上電自動(dòng)復(fù)位是通過外部復(fù)位電路的電容充電來實(shí)現(xiàn)的。只要V

33、CC上升的時(shí)間不超過1ms,就可以實(shí)現(xiàn)自動(dòng)上電復(fù)位。圖3.6 上電自動(dòng)復(fù)位電路除了上電復(fù)位,有時(shí)候還需要按鍵手動(dòng)復(fù)位。按鍵手動(dòng)復(fù)位有電平方式和脈沖方式兩種。其中電平復(fù)位是通過RST端經(jīng)電阻與電源VCC接通實(shí)現(xiàn)的,按鍵手動(dòng)電平復(fù)位電路如圖3.7。圖3.7 按鍵手動(dòng)復(fù)位電路本設(shè)計(jì)采用按鍵手動(dòng)復(fù)位電路,靈活性較好。C取22uF,RS取200,RK取1K。3.2.4 單片機(jī)定時(shí)器/計(jì)數(shù)器設(shè)置本設(shè)計(jì)中單片機(jī)的設(shè)計(jì)電路如下圖3.8所示:圖3.8 單片機(jī)設(shè)計(jì)電路在現(xiàn)代工業(yè)檢測(cè),控制中,許多場(chǎng)合都要用到計(jì)數(shù)或定時(shí)功能。STC89C52RC單片機(jī)有三個(gè)可編程的定時(shí)器/計(jì)數(shù)器T0、T1、T2。三個(gè)定時(shí)器/計(jì)數(shù)器都

34、有定時(shí)和計(jì)數(shù)兩種工作模式。計(jì)數(shù)工作模式計(jì)數(shù)功能是對(duì)外來脈沖進(jìn)行計(jì)數(shù)。每當(dāng)外部輸入脈沖反生負(fù)跳變時(shí),計(jì)數(shù)器加1。2.定時(shí)工作模式定時(shí)功能也是采用計(jì)數(shù)器的計(jì)數(shù)來實(shí)現(xiàn)的,不過此時(shí)的脈沖來自單片機(jī)的部(每經(jīng)過一個(gè)機(jī)器周期,計(jì)數(shù)器加1)。STC89C52RC單片機(jī)有四種工作方式(方式0,方式1,方式2,方式3),通過對(duì)特殊功能寄存器額編程,用戶可以方便的選擇定時(shí)器/計(jì)數(shù)器兩種工作模式和四種工作方式。定時(shí)器/計(jì)數(shù)器工作在方式0時(shí),為13位計(jì)數(shù)器,由TLX(X=0,1)的低五位和THX的高八位所構(gòu)成。最長(zhǎng)可定時(shí)16.384ms。定時(shí)器/計(jì)數(shù)器工作在方式1時(shí),為16位計(jì)數(shù)器,由TLX(X=0,1)作為低位和T

35、HX作為高八位所構(gòu)成。最長(zhǎng)可定時(shí)131.072ms。定時(shí)器/計(jì)數(shù)器工作在方式2時(shí),為自動(dòng)恢復(fù)初值的(常數(shù)自動(dòng)裝入)8位定時(shí)器/計(jì)數(shù)器,由THX作為常數(shù)緩沖器,當(dāng)TLX計(jì)數(shù)溢出時(shí),在置1溢出標(biāo)志TFX的同時(shí),還自動(dòng)地將THX的常數(shù)裝入TLX,使TLX開始從初值重新計(jì)數(shù)。方式3是為了增加一個(gè)附加的8位定時(shí)器/計(jì)數(shù)器提供的。當(dāng)定時(shí)器/計(jì)數(shù)器用作計(jì)數(shù)時(shí),計(jì)數(shù)脈沖來自相應(yīng)的外部輸入引腳T0/T1。當(dāng)輸入信號(hào)產(chǎn)生由1到0的負(fù)跳變時(shí),計(jì)數(shù)器的值增1.每個(gè)機(jī)器周期的S5P2期間,對(duì)外部脈沖進(jìn)行采樣。由于確認(rèn)一次負(fù)跳變需要花兩個(gè)機(jī)器周期,即24個(gè)震蕩周期,因此外部輸入的計(jì)數(shù)脈沖的最高頻率為振蕩器的1/24。在本

36、設(shè)計(jì)中,使用T0作為定時(shí)器,T1作為計(jì)數(shù)器。為實(shí)現(xiàn)外部脈沖計(jì)數(shù),在T0計(jì)時(shí)一秒時(shí),讀出T1中的值。T1中的數(shù)值為外部脈沖頻率,即一秒鐘RC振蕩器產(chǎn)生的脈沖數(shù)目。3.3 顯示電路的設(shè)計(jì)3.3.1 液晶顯示器的選擇隨著智能儀器設(shè)備的快速發(fā)展,液晶顯示器的使用越來越普遍。與傳統(tǒng)的數(shù)碼管相比,液晶顯示器具有顯示信息多顯示規(guī)體積小和功耗低等優(yōu)點(diǎn),因此是智能儀器智能設(shè)備顯示終端的首選。目前,液晶顯示器主要可分為點(diǎn)陣字符式和點(diǎn)陣圖形式。點(diǎn)陣字符式價(jià)格比較低廉使用也比較方便,但它一般只能顯示模塊預(yù)先存儲(chǔ)的幾十到一百個(gè)字符,使用受到一定的限制:而點(diǎn)陣圖形式可以根據(jù)需要顯示各種圖形曲線漢字和字符,因此有更加廣泛的

37、用途。點(diǎn)陣字符型液晶顯示器專門用于顯示數(shù)字字母圖形符號(hào)與少量自定義符號(hào)的顯示器。這類顯示器把LCD控制器/點(diǎn)陣驅(qū)動(dòng)器/字符存貯器全做在一塊印刷版上。本設(shè)計(jì)中采用常用的2行16列的字符型的LCD1602液晶模塊來顯示電容值。LCD1602采用標(biāo)準(zhǔn)的14腳接口,其中:第1腳:VSS為地電源第2腳:VDD接5V正電源第3腳:V0為液晶顯示器對(duì)比度調(diào)整端,接正電源時(shí)對(duì)比度最弱,接地電源時(shí)對(duì)比度最高,對(duì)比度過高時(shí)會(huì)產(chǎn)生“鬼影”,使用時(shí)可以通過一個(gè)10K的電位器調(diào)整對(duì)比度第4腳:RS為寄存器選擇,高電平時(shí)選擇數(shù)據(jù)寄存器、低電平時(shí)選擇指令寄存器。第5腳:R/W為讀寫信號(hào)線,高電平時(shí)進(jìn)行讀操作,低電平時(shí)進(jìn)行寫

38、操作。當(dāng)RS和RW共同為低電平時(shí)可以寫入指令或者顯示地址,當(dāng)RS為低電平RW為高電平時(shí)可以讀忙信號(hào),當(dāng)RS為高電平RW為低電平時(shí)可以寫入數(shù)據(jù)。第6腳:E端為使能端,當(dāng)E端由高電平跳變成低電平時(shí),液晶模塊執(zhí)行命令。第714腳:D0D7為8位雙向數(shù)據(jù)線。第15腳:背光電源正極第16腳:背光電源負(fù)極LCD1602液晶模塊部的字符發(fā)生存儲(chǔ)器(CGROM)已經(jīng)存儲(chǔ)了160個(gè)不同的點(diǎn)陣字符圖形,如表1所示,這些字符有:阿拉伯?dāng)?shù)字、英文字母的大小寫、常用的符號(hào)、和日文假名等,每一個(gè)字符都有一個(gè)固定的代碼,比如大寫的英文字母“A”的代碼是01000001B(41H),顯示時(shí)模塊把地址41H中的點(diǎn)陣字符圖形顯示

39、出來,我們就能看到字母“A” 。3.3.2 顯示電路設(shè)計(jì)單片機(jī)P2口分別控制RSR/和使能端E。D0D7為L(zhǎng)CD1602液晶模塊的8位雙向數(shù)據(jù)線,分別與STC89C52RC單片機(jī)的P1.0P1.7相連,用于傳輸數(shù)據(jù)。單片機(jī)與LCD1602液晶模塊連接圖如圖3.9。圖3.9 顯示電路設(shè)計(jì)電容測(cè)試儀系統(tǒng)的軟件設(shè)計(jì)4.1 主程序流程圖圖4.1 主程序流程圖4.2 頻率參數(shù)計(jì)算的原理本設(shè)計(jì)頻率的計(jì)算采用單片機(jī)外部中斷,對(duì)外觸發(fā)電路產(chǎn)生的脈沖頻率的測(cè)量,再通過對(duì)測(cè)量數(shù)據(jù)的校正來完成。單片機(jī)對(duì)頻率測(cè)量的原理如下圖4.2所示。圖4.2 測(cè)頻率原理圖示 說明:圖4.3中t1時(shí)刻檢測(cè)到高電平開定時(shí)器1,開始計(jì)數(shù)

40、;t2時(shí)刻等待檢測(cè)低電平;t3時(shí)刻第二次檢測(cè)到高電平時(shí)關(guān)定時(shí)器停止計(jì)數(shù)。利用GATE=1,TR1=1,只有引腳輸入高電平時(shí),T1才允許計(jì)數(shù),利用此,將外部輸入脈沖經(jīng)引腳上輸入,等待高電平的到來,當(dāng)檢測(cè)到高電平時(shí)開定時(shí)器開始計(jì)數(shù),然后檢測(cè)低電平,當(dāng)檢測(cè)到低電平時(shí)已經(jīng)測(cè)得脈沖的脈寬,但我們測(cè)得是頻率,故在程序中藥繼續(xù)檢測(cè)等待下一個(gè)高電平的到來,此時(shí)關(guān)定時(shí)器停止計(jì)數(shù),用此計(jì)數(shù)值乘以機(jī)器的周期數(shù)(晶振頻率已知),得出觸發(fā)電路產(chǎn)生的周期,然后再經(jīng)過數(shù)據(jù)處理便得到輸入信號(hào)的頻率。PCB板的設(shè)計(jì)與系統(tǒng)的調(diào)試5.1 Protel99SE介紹與PCB板的設(shè)計(jì)Protel99SE是應(yīng)用于Windows9X/200

41、0/NT操作系統(tǒng)下的EDA設(shè)計(jì)軟件,采用設(shè)計(jì)庫管理模式,可以進(jìn)行聯(lián)網(wǎng)設(shè)計(jì),具有很強(qiáng)的數(shù)據(jù)交換能力和開放性與3D模擬功能,是一個(gè)32位的設(shè)計(jì)軟件,可以完成電路原理圖設(shè)計(jì),印制電路板設(shè)計(jì)和可編程邏輯器件設(shè)計(jì)等工作,可以設(shè)計(jì)32個(gè)信號(hào)層,16個(gè)電源-地層和16個(gè)機(jī)加工層。一、Protel99SE的系統(tǒng)組成按照系統(tǒng)功能來劃分,Protel99SE主要包含以下兩大部分和6個(gè)功能模塊。1、電路工程設(shè)計(jì)部分 (1)電路原理設(shè)計(jì)部分(Advanced Schematic 99):電路原理圖設(shè)計(jì)部分包括電路圖編輯器(簡(jiǎn)稱SCH編輯器)、電路圖零件庫編輯器(簡(jiǎn)稱Schlib編輯器)和各種文本編輯器。本系統(tǒng)的主要功

42、能是:繪制、修改和編輯電路原理圖;更新和修改電路圖零件庫;查看和編輯有關(guān)電路圖和零件庫的各種報(bào)表。(2)印刷電路板設(shè)計(jì)系統(tǒng)(Advanced PCB 99):印刷電路板設(shè)計(jì)系統(tǒng)包括印刷電路板編輯器(簡(jiǎn)稱PCB編輯器)、零件封裝編輯器(簡(jiǎn)稱PCBLib編輯器)和電路板組件管理器。本系統(tǒng)的主要功能是:繪制、修改和編輯電路板;更新和修改零件封裝;管理電路板組件。(3)自動(dòng)布線系統(tǒng)(Advanced Route 99):本系統(tǒng)包含一個(gè)基于形狀(Shape-based)的無柵格自動(dòng)布線器,用于印刷電路板的自動(dòng)布線,以實(shí)現(xiàn)PCB設(shè)計(jì)的自動(dòng)化。2、電路仿真與PLD部分(1)電路模擬仿真系統(tǒng)(Advanced

43、 SIM 99):電路模擬仿真系統(tǒng)包含一個(gè)數(shù)字/模擬信號(hào)仿真器,可提供連續(xù)的數(shù)字信號(hào)和模擬信號(hào),以便對(duì)電路原理圖進(jìn)行信號(hào)模擬仿真,從而驗(yàn)證其正確性和可行性。(2)可編程邏輯設(shè)計(jì)系統(tǒng)(Advanced PLD 99):可編程邏輯設(shè)計(jì)系統(tǒng)包含一個(gè)有語法功能的文本編輯器和一個(gè)波形編輯器(Waveform)。本系統(tǒng)的主要功能是;對(duì)邏輯電路進(jìn)行分析、綜合;觀察信號(hào)的波形。利用PLD系統(tǒng)可以最大限度的精簡(jiǎn)邏輯部件,使數(shù)字電路設(shè)計(jì)達(dá)到最簡(jiǎn)化。(3)高級(jí)信號(hào)完整性分析系統(tǒng)(Advanced Integrity 99):信號(hào)完整性分析系統(tǒng)提供了一個(gè)精確的信號(hào)完整性模擬器,可用來分析PCB設(shè)計(jì)、檢查電路設(shè)計(jì)參數(shù)、

44、實(shí)驗(yàn)超調(diào)量、阻抗和信號(hào)諧波要求等。二、Protel99SE的功能特性1、開放式集成化的設(shè)計(jì)管理體系2、超強(qiáng)功能的、修改與編輯功能3、強(qiáng)大的設(shè)計(jì)自動(dòng)化功能本設(shè)計(jì)中,PCB的設(shè)計(jì)如圖5.1所示:圖5.1 PCB設(shè)計(jì)圖5.2 系統(tǒng)的調(diào)試單片機(jī)開發(fā)中除必要的硬件外,同樣離不開軟件,匯編語言源程序要變?yōu)镃PU可以執(zhí)行的機(jī)器碼有兩種方法,一種是手工匯編,另一種是機(jī)器匯編,目前已極少使用手工匯編的方法了。機(jī)器匯編是通過匯編軟件將源程序變?yōu)闄C(jī)器碼,用于MCS-51單片機(jī)的匯編軟件有早期的A51,隨著單片機(jī)開發(fā)技術(shù)的不斷發(fā)展,從普遍使用匯編語言到逐漸使用高級(jí)語言開發(fā),單片機(jī)的開發(fā)軟件也在不斷發(fā)展,Keil4.0

45、軟件是目前最流行開發(fā)MCS-51系列單片機(jī)的軟件,這從近年來各仿真機(jī)廠商紛紛宣布全面支持Keil4.0即可看出。Keil4.0提供了包括C編譯器、宏匯編、連接器、庫管理和一個(gè)功能強(qiáng)大的仿真調(diào)試器等在的完整開發(fā)方案,通過一個(gè)集成開發(fā)環(huán)境(uVision)將這些部份組合在一起。調(diào)試預(yù)通過Multisim10.0仿真的電路圖如圖5.2所示:圖5.2 Multisim 10.0仿真電路圖通過Multisim10.0得到RC振蕩部分的波形為方波,如圖5.3所示:圖5.3 RC振蕩電路輸出方波仿真5.3 系統(tǒng)的測(cè)試(1)測(cè)試原理:該電容測(cè)試儀以STC89C52RC單片機(jī)為核心,將被測(cè)電容對(duì)應(yīng)的振蕩電路轉(zhuǎn)化

46、為頻率實(shí)現(xiàn)電容值的測(cè)量。被測(cè)電容的振蕩頻率是用555多諧振蕩電路產(chǎn)生的,將該振蕩頻率送入STC89C52RC的計(jì)數(shù)端端口,通過定時(shí)并且計(jì)數(shù)可以計(jì)算出被測(cè)頻率,再通過該頻率計(jì)算出被測(cè)參數(shù)。以Keil4.0為仿真平臺(tái),使用C語言編程編寫了運(yùn)行程序;包括主程序模塊、顯示模塊和電容測(cè)試模塊。(2)測(cè)試方法:測(cè)試時(shí)將被測(cè)電容通過該測(cè)試儀測(cè)量出來的值與被測(cè)電容的標(biāo)稱值進(jìn)行對(duì)比,進(jìn)而可以計(jì)算該測(cè)試儀的測(cè)試精度。(3)測(cè)試儀器:示波器,萬用表,穩(wěn)壓電源,計(jì)算機(jī)。(4)測(cè)試結(jié)果:觀察測(cè)試結(jié)果,對(duì)設(shè)計(jì)進(jìn)行進(jìn)一步地校正,并記錄觀察結(jié)果。測(cè)試結(jié)果如下:表5.1 電容測(cè)試數(shù)據(jù)讀取示值()標(biāo)稱值()相對(duì)誤差值(%)11.

47、055101100123224.5 (5)測(cè)試分析:在實(shí)際測(cè)量中,由于測(cè)試環(huán)境,測(cè)試儀器,測(cè)試方法等都對(duì)測(cè)試值有一定的影響,都會(huì)導(dǎo)致測(cè)量結(jié)果或多或少地偏離被測(cè)電容的真值。為了減小本設(shè)計(jì)中的誤差,主要利用修正的方法來減小實(shí)際測(cè)量中的誤差。所謂修正的方法就是在測(cè)量前或測(cè)量過程中,求取某類系統(tǒng)誤差的修正值。在測(cè)量的數(shù)據(jù)處理過程中選取合適的修正值很關(guān)鍵,修正值的獲得有三種途徑。第一種途徑是從相關(guān)資料中查??;第二種途徑是通過理論推導(dǎo)求??;第三種途徑是通過實(shí)驗(yàn)求取。本測(cè)試修正值是通過實(shí)驗(yàn)求取,對(duì)影響測(cè)量讀數(shù)的各種影響因素,如溫度、濕度、電源電壓等變化引起的系統(tǒng)誤差,通過對(duì)一樣被測(cè)參數(shù)的多次測(cè)量結(jié)果和不同被

48、測(cè)參數(shù)的多次測(cè)量選取平均值,最后確定被測(cè)參數(shù)公式的常數(shù)K值,從而達(dá)到減小本設(shè)計(jì)系統(tǒng)誤差的目的??偨Y(jié)與展望6.1 工作總結(jié)畢業(yè)論文是一次非常好的將理論與實(shí)際相結(jié)合的機(jī)會(huì),通過對(duì)電容測(cè)試儀的設(shè)計(jì),鍛煉了我的實(shí)際動(dòng)手能力,增強(qiáng)了我解決實(shí)際工程問題的能力,同時(shí)也提高我查閱文獻(xiàn)資料、設(shè)計(jì)規(guī)以與電腦制圖等專業(yè)能力水平。本設(shè)計(jì)的硬件電路圖簡(jiǎn)單,可降低生產(chǎn)成本。采用單片機(jī)可提高系統(tǒng)的可靠性和穩(wěn)定性,縮小系統(tǒng)的體積,調(diào)試和維護(hù)方便,而且以MCS-51單片機(jī)最小系統(tǒng)為核心的設(shè)計(jì)能夠滿足了整個(gè)系統(tǒng)的工作需求,555定時(shí)器實(shí)現(xiàn)了被測(cè)電容參數(shù)的頻率化,將被測(cè)電容的頻率信號(hào)送入單片機(jī)計(jì)數(shù),再經(jīng)過顯示電路顯示被測(cè)參數(shù)的測(cè)量

49、值,運(yùn)行程序用C語言編程,經(jīng)過測(cè)試,系統(tǒng)各個(gè)模塊都能正常工作,成功實(shí)現(xiàn)了設(shè)計(jì)的要求。整個(gè)系統(tǒng)的工作正常,符合了本畢業(yè)設(shè)計(jì)的全部要求。雖然本系統(tǒng)完成了設(shè)計(jì)設(shè)計(jì)要求,但其中仍然存在著很多需要改進(jìn)的地方。作品實(shí)測(cè)中,測(cè)量電容值有一定的誤差,而且電容值越大時(shí)誤差越大,該誤差則是來源于振蕩電路產(chǎn)生的頻率和單片機(jī)程序上的誤差。希望在之后的設(shè)計(jì)之中能夠得到進(jìn)一步解決。在人機(jī)交換方面,顯示部分可以改用顯示效果更好的液晶屏顯示,使系統(tǒng)工作狀態(tài)和數(shù)據(jù)顯示更加清晰、更加人性化。6.2 技術(shù)展望隨著集成電路技術(shù)和數(shù)字電路技術(shù)的飛速發(fā)展,電容測(cè)量技術(shù)也得到了很好的發(fā)展。由于傳統(tǒng)的檢測(cè)電路已經(jīng)不能滿足現(xiàn)代測(cè)量的需要,研制更高精度和快響應(yīng)速度的檢測(cè)電路成為必要。同時(shí),隨著測(cè)控系統(tǒng)自動(dòng)化、智能化的發(fā)展,要求數(shù)據(jù)采集和處理系統(tǒng)的準(zhǔn)確度更高,可靠性更

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論