教學(xué)課件:EDA技術(shù)入門(mén)與提高(第二版)_第1頁(yè)
教學(xué)課件:EDA技術(shù)入門(mén)與提高(第二版)_第2頁(yè)
教學(xué)課件:EDA技術(shù)入門(mén)與提高(第二版)_第3頁(yè)
教學(xué)課件:EDA技術(shù)入門(mén)與提高(第二版)_第4頁(yè)
教學(xué)課件:EDA技術(shù)入門(mén)與提高(第二版)_第5頁(yè)
已閱讀5頁(yè),還剩1221頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、第1章EDA 技術(shù)概述1.1 EDA技術(shù)的發(fā)展歷程1.2 應(yīng)用EDA技術(shù)的設(shè)計(jì)特點(diǎn)1.3 EDA工具軟件結(jié)構(gòu) 1.1 EDA技術(shù)的發(fā)展歷程EDA(Electronic Design Automation)即電子設(shè)計(jì)自動(dòng)化,是指使用計(jì)算機(jī)自動(dòng)完成電子系統(tǒng)的設(shè)計(jì)。EDA技術(shù)是以計(jì)算機(jī)和微電子技術(shù)為先導(dǎo),匯集了計(jì)算機(jī)圖形學(xué)、拓?fù)洹⑦壿媽W(xué)、微電子工藝與結(jié)構(gòu)學(xué)和計(jì)算數(shù)學(xué)等多種計(jì)算機(jī)應(yīng)用學(xué)科最新成果的先進(jìn)技術(shù)。EDA技術(shù)通過(guò)計(jì)算機(jī)完成數(shù)字系統(tǒng)的邏輯綜合、布局布線(xiàn)和設(shè)計(jì)仿真等工作。設(shè)計(jì)人員只需要完成對(duì)系統(tǒng)功能的描述,就可以由計(jì)算機(jī)軟件進(jìn)行處理并得到設(shè)計(jì)結(jié)果,而且修改設(shè)計(jì)如同修改軟件一樣方便,從而極大地提高了

2、設(shè)計(jì)效率。從20世紀(jì)60年代中期計(jì)算機(jī)剛進(jìn)入實(shí)用階段開(kāi)始,人們就希望使用計(jì)算機(jī)進(jìn)行電子產(chǎn)品的設(shè)計(jì),設(shè)計(jì)人員不斷開(kāi)發(fā)出各種計(jì)算機(jī)輔助設(shè)計(jì)工具來(lái)進(jìn)行電子系統(tǒng)的設(shè)計(jì)。隨著電路理論和半導(dǎo)體工藝水平的提高,EDA技術(shù)得到了飛速發(fā)展。EDA工具的作用范圍從PCB板設(shè)計(jì)延伸到電子線(xiàn)路和集成電路設(shè)計(jì),甚至延伸到了整個(gè)系統(tǒng)的設(shè)計(jì)。EDA技術(shù)的發(fā)展共經(jīng)歷了以下三個(gè)階段。1CAD階段CAD(Computer Aided Design,計(jì)算機(jī)輔助設(shè)計(jì))階段是EDA技術(shù)發(fā)展的最初階段,這一時(shí)期從20世紀(jì)60年代中期到20世紀(jì)80年代初期。在20世紀(jì)70年代MOS工藝得到了廣泛應(yīng)用,可編程邏輯技術(shù)及其器件已經(jīng)問(wèn)世,計(jì)算機(jī)

3、作為一種運(yùn)算工具已在科研領(lǐng)域得到廣泛應(yīng)用。這一時(shí)期,計(jì)算機(jī)技術(shù)還不是非常先進(jìn),計(jì)算機(jī)的運(yùn)算速度比較低,人工智能技術(shù)尚不發(fā)達(dá),只能使用計(jì)算機(jī)實(shí)現(xiàn)一些簡(jiǎn)單的工作。這一時(shí)期的EDA技術(shù)只能稱(chēng)之為電子設(shè)計(jì)CAD技術(shù)。這一時(shí)期的EDA軟件主要是一些功能簡(jiǎn)單的工具軟件,但人們已經(jīng)開(kāi)始利用這些工具軟件代替手工勞動(dòng),輔助進(jìn)行集成電路版圖編輯、PCB布局布線(xiàn)等工作。通過(guò)使用計(jì)算機(jī),設(shè)計(jì)人員可以從大量繁瑣重復(fù)的計(jì)算和繪圖工作中解脫出來(lái)。20世紀(jì)80年代初,隨著電路集成規(guī)模的擴(kuò)大,EDA技術(shù)有了較快的發(fā)展。許多軟件公司(如Mentor、DaisySystem及LogicSystem等)進(jìn)入市場(chǎng),開(kāi)始供應(yīng)帶電路圖編輯

4、工具和邏輯模擬工具的EDA軟件。這個(gè)時(shí)期的軟件主要針對(duì)產(chǎn)品開(kāi)發(fā),按照設(shè)計(jì)、分析、生產(chǎn)和測(cè)試等不同階段,分別使用不同的軟件,每個(gè)軟件只能完成其中的一項(xiàng)工作,通過(guò)順序循環(huán)使用這些軟件,可完成設(shè)計(jì)的全過(guò)程。但這樣的設(shè)計(jì)過(guò)程存在不同軟件之間的接口處理繁瑣、缺乏系統(tǒng)級(jí)的總體仿真的缺陷。這一時(shí)期的工具軟件的代表有Protel的早期版本Tango布線(xiàn)軟件、用于電路模擬的SPICE軟件和后來(lái)產(chǎn)品化的IC版圖編輯與設(shè)計(jì)規(guī)則檢查系統(tǒng)軟件等。2CAE階段進(jìn)入20世紀(jì)80年代后,隨著計(jì)算機(jī)技術(shù)和電子技術(shù)的發(fā)展,EDA技術(shù)發(fā)展到了CAE (Computer Aided Engineering,計(jì)算機(jī)輔助工程)階段,這個(gè)

5、階段在集成電路與電子設(shè)計(jì)方法學(xué)以及設(shè)計(jì)工具集成化方面取得了許多成果,各種設(shè)計(jì)工具(如原理圖輸入、編譯與鏈接、邏輯模擬、測(cè)試碼生成、版圖自動(dòng)布局以及各種單元庫(kù))已齊全。由于采用了統(tǒng)一數(shù)據(jù)管理技術(shù),因而能夠?qū)⒏鱾€(gè)工具集成為一個(gè)CAE系統(tǒng)。按照設(shè)計(jì)方法學(xué)制定的設(shè)計(jì)流程,可以實(shí)現(xiàn)從設(shè)計(jì)輸入到版圖輸出的全程設(shè)計(jì)自動(dòng)化。 這個(gè)階段主要采用基于單元庫(kù)的半定制設(shè)計(jì)方法,采用門(mén)陣列和標(biāo)準(zhǔn)單元設(shè)計(jì)的各種專(zhuān)用集成電路(Application Specific Integrated Circuit,ASIC)得到了極大的發(fā)展,將集成電路工業(yè)推入了ASIC時(shí)代。多數(shù)系統(tǒng)中集成了PCB自動(dòng)布局布線(xiàn)軟件以及熱特性、噪聲、可

6、靠性等分析軟件,進(jìn)而可以實(shí)現(xiàn)電子系統(tǒng)設(shè)計(jì)自動(dòng)化。3EDA階段20世紀(jì)90年代以來(lái),微電子技術(shù)以驚人的速度發(fā)展,其工藝水平達(dá)到深亞微米級(jí),在一個(gè)芯片上可集成數(shù)百萬(wàn)乃至上千萬(wàn)只晶體管,工作速度可達(dá)到吉赫茲,這為制造出規(guī)模更大、速度更快和信息容量更大的芯片系統(tǒng)提供了條件,但同時(shí)也對(duì)EDA系統(tǒng)提出了更高的要求,并促進(jìn)了EDA技術(shù)的發(fā)展。此階段主要出現(xiàn)了以高級(jí)語(yǔ)言描述、系統(tǒng)仿真和綜合技術(shù)為特征的第三代EDA技術(shù),不僅極大地提高了系統(tǒng)的設(shè)計(jì)效率,而且使設(shè)計(jì)人員擺脫了大量的輔助性及基礎(chǔ)性的工作,將精力集中于創(chuàng)造性的方案與概念的構(gòu)思上。下面簡(jiǎn)單介紹這個(gè)階段EDA技術(shù)的主要特征。(1) 高層綜合(High Le

7、vel Synthesis,HLS)的理論與方法取得了較大進(jìn)展,將EDA設(shè)計(jì)層次提高到了行為級(jí)(又稱(chēng)系統(tǒng)級(jí)),并劃分為邏輯綜合和測(cè)試綜合。邏輯綜合就是對(duì)不同層次和不同形式的設(shè)計(jì)描述進(jìn)行轉(zhuǎn)換,通過(guò)綜合算法,以具體的工藝背景實(shí)現(xiàn)高層目標(biāo)所規(guī)定的優(yōu)化設(shè)計(jì);通過(guò)設(shè)計(jì)綜合工具,可將電子系統(tǒng)的高層行為描述轉(zhuǎn)換到低層硬件描述和確定的物理實(shí)現(xiàn),使設(shè)計(jì)人員無(wú)需直接面對(duì)低層電路,不必了解具體的邏輯器件,從而把精力集中到系統(tǒng)行為建模和算法設(shè)計(jì)上。 測(cè)試綜合是以設(shè)計(jì)結(jié)果的性能為目標(biāo)的綜合方法,以電路的時(shí)序、功耗、電磁輻射和負(fù)載能力等性能指標(biāo)為綜合對(duì)象。測(cè)試綜合是保證電子系統(tǒng)設(shè)計(jì)結(jié)果穩(wěn)定可靠工作的必要條件,也是對(duì)設(shè)計(jì)進(jìn)

8、行驗(yàn)證的有效方法,其典型工具有Synopsys公司的Behavioral Compiler以及Mentor Graphics公司的Monet和Renoir。 (2) 采用硬件描述語(yǔ)言(Hardware Description Language,HDL)來(lái)描述10萬(wàn)門(mén)以上的設(shè)計(jì),并形成了VHDL(Very High Speed Integrated Circuit HDL)和Verilog HDL兩種標(biāo)準(zhǔn)硬件描述語(yǔ)言。它們均支持不同層次的描述,使得對(duì)復(fù)雜IC的描述規(guī)范化,便于傳遞、交流、保存與修改,也便于重復(fù)使用。它們多應(yīng)用于FPGA/CPLD/EPLD的設(shè)計(jì)中。大多數(shù)的EDA軟件都兼容這兩種標(biāo)

9、準(zhǔn)。硬件描述語(yǔ)言的使用使電子設(shè)計(jì)成果以自主知識(shí)產(chǎn)權(quán)的方式得以明確表達(dá)和確認(rèn)成為可能,大型的芯片生產(chǎn)商不再將大部分資金用于芯片生產(chǎn)線(xiàn),而是轉(zhuǎn)而進(jìn)行具有知識(shí)產(chǎn)權(quán)的芯片IP核的設(shè)計(jì),然后尋找加工廠(chǎng)商進(jìn)行生產(chǎn)。(3) 采用平面規(guī)劃(Floorplaning)技術(shù)對(duì)邏輯綜合和物理版圖設(shè)計(jì)進(jìn)行聯(lián)合管理,做到在邏輯綜合早期設(shè)計(jì)階段就考慮到物理設(shè)計(jì)信息的影響。通過(guò)這些信息,設(shè)計(jì)者能更進(jìn)一步進(jìn)行綜合與優(yōu)化,并保證所作的修改只會(huì)提高性能而不會(huì)對(duì)版圖設(shè)計(jì)帶來(lái)負(fù)面影響。這對(duì)在深亞微米級(jí)布線(xiàn)延時(shí)已成為主要延時(shí)的情況下,加速設(shè)計(jì)過(guò)程的收斂與成功實(shí)現(xiàn)是有所幫助的。在Synopsys和Cadence等公司的EDA系統(tǒng)中均采用

10、了這項(xiàng)技術(shù)。(4) 可測(cè)性綜合設(shè)計(jì)。隨著ASIC的規(guī)模與復(fù)雜性的增加,測(cè)試難度與費(fèi)用急劇上升,由此產(chǎn)生了將可測(cè)性電路結(jié)構(gòu)制作在A(yíng)SIC芯片上的想法,于是開(kāi)發(fā)了掃描插入、BLST (內(nèi)建自測(cè)試)、邊界掃描等可測(cè)性設(shè)計(jì)(DFT)工具,并已集成到EDA系統(tǒng)中。其典型產(chǎn)品有Compass公司的Test Assistant和Mentor Graphics公司的LBLSTArchitect、BSDArchitect、DFTAdvisor等。(5) 帶有嵌入IP模塊的ASIC設(shè)計(jì)提供軟/硬件協(xié)同系統(tǒng)設(shè)計(jì)工具。協(xié)同驗(yàn)證彌補(bǔ)了硬件設(shè)計(jì)和軟件設(shè)計(jì)流程之間的空隙,保證了軟/硬件之間的同步協(xié)調(diào)工作。協(xié)同驗(yàn)證是當(dāng)今系統(tǒng)

11、集成的核心,它以高層系統(tǒng)設(shè)計(jì)為主導(dǎo),以性能優(yōu)化為目標(biāo),融合了邏輯綜合、性能仿真、形式驗(yàn)證和可測(cè)性設(shè)計(jì),其代表產(chǎn)品如Mentor Graphics公司的SeamlessCAV。(6) 建立并行設(shè)計(jì)工程CE(Concurrent Engineering)框架結(jié)構(gòu)的集成化設(shè)計(jì)環(huán)境,以適應(yīng)當(dāng)今ASIC設(shè)計(jì)的要求。在這種集成化設(shè)計(jì)環(huán)境中,使用統(tǒng)一的數(shù)據(jù)管理系統(tǒng)與完善的通信管理系統(tǒng),由若干相關(guān)的設(shè)計(jì)小組共享數(shù)據(jù)庫(kù)和知識(shí)庫(kù),并行地進(jìn)行設(shè)計(jì),而且在各種平臺(tái)之間可以平滑過(guò)渡。目前,全球范圍內(nèi)有近百家廠(chǎng)商提供了EDA工具軟件,這些公司大體可分兩類(lèi):一類(lèi)是EDA專(zhuān)業(yè)軟件公司,其推出的EDA系統(tǒng)標(biāo)準(zhǔn)化程度較高,兼容性

12、好,注意追求技術(shù)上的先進(jìn)性,適用于學(xué)術(shù)性基礎(chǔ)研究,這方面較著名的公司有Mentor Graphics、Cadence Design Systems、Synopsys、Viewlogic Systems和Altum等;另一類(lèi)是半導(dǎo)體器件廠(chǎng)商,為了銷(xiāo)售其產(chǎn)品而開(kāi)發(fā)EDA工具,用這些EDA工具器件的工藝特點(diǎn)進(jìn)行優(yōu)化設(shè)計(jì),提高資源利用率,降低功耗,改善性能,這方面較著名的公司有Altera、Xilinx、AMD、TI和Lattice等。1.2 應(yīng)用EDA技術(shù)的設(shè)計(jì)特點(diǎn)與采用傳統(tǒng)的電子設(shè)計(jì)技術(shù)相比,應(yīng)用EDA技術(shù)的可編程邏輯器件設(shè)計(jì)具有以下特點(diǎn)。(1) 強(qiáng)大的系統(tǒng)建模與電路仿真功能。EDA技術(shù)中最具代表

13、性的功能是日益強(qiáng)大的邏輯設(shè)計(jì)仿真測(cè)試功能。利用該功能,只需通過(guò)計(jì)算機(jī)就能在各種不同層面對(duì)所設(shè)計(jì)的電子系統(tǒng)的性能特點(diǎn)進(jìn)行準(zhǔn)確的測(cè)試與仿真,在完成實(shí)際系統(tǒng)的安裝后,還能對(duì)系統(tǒng)上的目標(biāo)器件進(jìn)行邊界掃描測(cè)試。這一切都極大地提高了大規(guī)模系統(tǒng)電子設(shè)計(jì)的自動(dòng)化程度。與傳統(tǒng)的使用專(zhuān)用功能器件等分離元件構(gòu)成的應(yīng)用電子系統(tǒng)的技術(shù)性能和設(shè)計(jì)手段相比,EDA技術(shù)及其設(shè)計(jì)系統(tǒng)具有更加明顯的優(yōu)勢(shì)。(2) 采用硬件描述語(yǔ)言(HDL)進(jìn)行設(shè)計(jì)。應(yīng)用EDA技術(shù)后,用戶(hù)可以采用硬件描述語(yǔ)言對(duì)電子芯片進(jìn)行設(shè)計(jì),即采用HDL對(duì)數(shù)字電子系統(tǒng)進(jìn)行抽象的行為描述或者具體的內(nèi)部線(xiàn)路結(jié)構(gòu)描述,從而在電子設(shè)計(jì)的各個(gè)階段、各個(gè)層次進(jìn)行計(jì)算機(jī)模擬驗(yàn)

14、證,無(wú)需構(gòu)建實(shí)際的電路,這樣既能保證設(shè)計(jì)過(guò)程的正確性,又可以大大降低設(shè)計(jì)成本,縮短設(shè)計(jì)周期。使用硬件描述語(yǔ)言,用戶(hù)能進(jìn)行方便的文檔管理。使用硬件描述語(yǔ)言進(jìn)行設(shè)計(jì)后,用戶(hù)可以使用庫(kù)(Library)實(shí)現(xiàn)設(shè)計(jì)的復(fù)用。通過(guò)庫(kù)的不斷擴(kuò)充,EDA工具將能夠完成更多的自動(dòng)設(shè)計(jì)過(guò)程。通過(guò)硬件描述語(yǔ)言進(jìn)行的設(shè)計(jì)具有自主知識(shí)產(chǎn)權(quán)。這一點(diǎn)對(duì)于電子芯片生產(chǎn)廠(chǎng)家來(lái)說(shuō)非常重要,未來(lái)的芯片廠(chǎng)商將會(huì)把資金重點(diǎn)投到芯片IP核的開(kāi)發(fā)上,芯片的生產(chǎn)可交由專(zhuān)業(yè)的生產(chǎn)商組織。(3) 開(kāi)發(fā)技術(shù)的標(biāo)準(zhǔn)化、規(guī)范化以及IP核的可利用性。傳統(tǒng)的電子設(shè)計(jì)方法缺乏標(biāo)準(zhǔn)規(guī)范,設(shè)計(jì)效率低,系統(tǒng)性能差,開(kāi)發(fā)成本高,市場(chǎng)競(jìng)爭(zhēng)能力小。以單片機(jī)或DSP開(kāi)發(fā)為

15、例,每一次新的開(kāi)發(fā),必須選用具有更高性?xún)r(jià)比和更適合設(shè)計(jì)項(xiàng)目的處理器,但由于不同的處理器其結(jié)構(gòu)、語(yǔ)言和硬件特性有很大差異,設(shè)計(jì)者每一次都必須重新了解和學(xué)習(xí)相關(guān)的知識(shí),例如重新了解器件的詳細(xì)結(jié)構(gòu)和電氣特性,重新設(shè)計(jì)該處理器的功能軟件,甚至重新購(gòu)置和了解新的開(kāi)發(fā)系統(tǒng)和編譯軟件。采用EDA技術(shù)的可編程邏輯器件的設(shè)計(jì)就完全不同。EDA的設(shè)計(jì)語(yǔ)言是標(biāo)準(zhǔn)化的,不會(huì)因設(shè)計(jì)對(duì)象的不同而改變,EDA軟件平臺(tái)支持任何標(biāo)準(zhǔn)化的設(shè)計(jì)語(yǔ)言;采用EDA技術(shù)進(jìn)行設(shè)計(jì),其設(shè)計(jì)成果具有通用性和規(guī)范的接口協(xié)議、良好的可移植性與可測(cè)試性,為高效、高質(zhì)的系統(tǒng)開(kāi)發(fā)提供了可靠的保證。因此,EDA技術(shù)適用于高效率、大規(guī)模系統(tǒng)設(shè)計(jì)的自頂向下的

16、設(shè)計(jì)方案。傳統(tǒng)的電子設(shè)計(jì)技術(shù)沒(méi)有規(guī)范的設(shè)計(jì)工具和表達(dá)方式,所以無(wú)法采用這種先進(jìn)的設(shè)計(jì)流程。(4) 對(duì)設(shè)計(jì)者的硬件知識(shí)和硬件經(jīng)驗(yàn)要求低。傳統(tǒng)的電子設(shè)計(jì)對(duì)于電子設(shè)計(jì)工程師的要求非常高,不僅需要在電子技術(shù)理論和設(shè)計(jì)實(shí)踐方面擁有很深的造詣,還必須熟悉各種在線(xiàn)測(cè)試儀表和開(kāi)發(fā)工具的使用方法及性能指標(biāo)。而采用EDA技術(shù)對(duì)設(shè)計(jì)者的要求就低得多,使用標(biāo)準(zhǔn)化的硬件描述語(yǔ)言,設(shè)計(jì)者能更大程度地將自己的才智和創(chuàng)造力集中在設(shè)計(jì)項(xiàng)目性能的提高和成本的降低上,而將更具體的硬件實(shí)現(xiàn)工作讓專(zhuān)業(yè)部門(mén)來(lái)完成。1.3 EDA工具軟件結(jié)構(gòu)本節(jié)主要介紹當(dāng)今廣泛使用的以開(kāi)發(fā)FPGA和CPLD為主的EDA工具軟件的結(jié)構(gòu)。應(yīng)用EDA的設(shè)計(jì)工具

17、軟件在EDA技術(shù)應(yīng)用中占據(jù)及其重要的位置,EDA技術(shù)是利用計(jì)算機(jī)完成電子設(shè)計(jì)全程自動(dòng)化的設(shè)計(jì)技術(shù),基于計(jì)算機(jī)環(huán)境的EDA軟件是EDA技術(shù)的基礎(chǔ)。以EDA設(shè)計(jì)流程中涉及的主要軟件包分類(lèi),用于可編程邏輯器件的EDA工具軟件的結(jié)構(gòu)大致可以分為設(shè)計(jì)輸入模塊、HDL綜合器、仿真器、適配器和下載器等五個(gè)模塊。1設(shè)計(jì)輸入模塊設(shè)計(jì)輸入模塊用于進(jìn)行電子設(shè)計(jì)的輸入,通常支持多種表達(dá)方式的電子設(shè)計(jì)輸入,如原理圖輸入方式、狀態(tài)圖輸入方式、波形輸入方式以及HDL的文本輸入方式等??删幊踢壿嬈骷S(chǎng)商提供的EDA開(kāi)發(fā)工具中都含有這類(lèi)輸入編輯器,如Xilinx公司的Foundation以及Altera公司的MAX+PLUS與

18、Quartus等。由專(zhuān)業(yè)的EDA工具供應(yīng)商提供的設(shè)計(jì)輸入工具一般與該公司的其他電路設(shè)計(jì)軟件整合,比較有代表性的是Innovada公司的eProduct Designer中的原理圖輸入管理工具Dx Designer,它既可作為PCB設(shè)計(jì)的原理圖輸入環(huán)境,又可作為IC設(shè)計(jì)、模擬仿真和FPGA設(shè)計(jì)的原理圖輸入環(huán)境。 比較常見(jiàn)的還有Cadence公司的Orcad中的Capture工具等。這一類(lèi)工具一般都設(shè)計(jì)成通用型的原理圖輸入工具。由于針對(duì)FPGA/CPLD設(shè)計(jì)的原理圖需要特殊原理圖庫(kù)(含原理圖中的Symbol)的支持,因此其輸出并不與EDA流程的下一步設(shè)計(jì)工具直接相連,而要通過(guò)EDIF文件進(jìn)行傳遞。

19、HDL采取文本輸入方式,用普通的文本編輯器即可完成HDL的輸入。常用的文本編輯器有UltraEdit、Vim、XEmacs等,絕大部分的EDA工具中都提供有HDL編輯器,如Aldec公司的ActiveHDL中的HDL編輯器、Quartus中的Text Editor文本編輯器等。某些EDA設(shè)計(jì)輸入工具把圖形設(shè)計(jì)與HDL文本設(shè)計(jì)相結(jié)合,如在提供HDL編輯器的同時(shí)提供狀態(tài)機(jī)編輯器,用戶(hù)可用轉(zhuǎn)移圖描述狀態(tài)機(jī),直接生成HDL文本輸出。在這些輸入工具中,比較流行的有VisualHDL、FPGA Adantage、ActiveHDL中的Active State等,尤其是HDL Designer Series

20、中的各種輸入編輯器,可以接受諸如原理圖、狀態(tài)圖、表格圖等輸入形式,并將它們轉(zhuǎn)換成HDL(VHDL/Verilog HDL)文本表達(dá)方式,很好地解決了通用性(HDL輸入的優(yōu)點(diǎn))與易用性(圖形法的優(yōu)點(diǎn))之間的矛盾。2HDL綜合器由于目前通用的硬件描述語(yǔ)言為VHDL和Verilog HDL,因此這里介紹的HDL綜合器主要是針對(duì)這兩種語(yǔ)言的。硬件描述語(yǔ)言最初是用于電路邏輯的建模和仿真的,Synopsys公司推出了第一個(gè)HDL綜合器后,其他公司相繼推出了基于HDL的綜合器,至此,HDL才被直接用于電路的設(shè)計(jì)。由于HDL綜合器實(shí)現(xiàn)上的困難,因此成熟的HDL綜合器并不多。比較常用且性能良好的FPGA/CPL

21、D設(shè)計(jì)的HDL綜合器有Synopsys公司的FPGA Compiler和FPGA Express綜合器、Synplicity公司的Synplify Pro綜合器和Exemplar Logic公司的Leonardo Spectrum綜合器等。3仿真器仿真器有基于元件(邏輯門(mén))的仿真器和硬件描述語(yǔ)言(HDL)的仿真器兩種,基于元件的仿真器缺乏HDL仿真器的靈活性和通用性,在此主要介紹HDL仿真器。在EDA設(shè)計(jì)技術(shù)中,仿真的地位十分重要,行為模型的表達(dá)、電子系統(tǒng)的建模、邏輯電路的驗(yàn)證以及門(mén)級(jí)系統(tǒng)的測(cè)試,每一步都離不開(kāi)仿真器的模擬檢測(cè)。在EDA發(fā)展的初期,快速地進(jìn)行電路邏輯仿真是當(dāng)時(shí)的核心問(wèn)題,即使在

22、現(xiàn)在,各設(shè)計(jì)環(huán)節(jié)的仿真仍然是整個(gè)EDA工程流程中最耗時(shí)間的一個(gè)步驟,因此仿真器的仿真速度以及仿真的準(zhǔn)確性、易用性已成為衡量仿真器的重要指標(biāo)。 按對(duì)設(shè)計(jì)語(yǔ)言的處理方式分類(lèi),仿真器可分為編譯型仿真器和解釋型仿真器。編譯型仿真器的仿真速度較快,但需要預(yù)處理,因此不便于即時(shí)修改。解釋型仿真器的仿真速度一般,但是可隨時(shí)修改仿真環(huán)境和條件。按處理的硬件描述語(yǔ)言類(lèi)型分,HDL仿真器可分為如下幾種:(1) VHDL仿真器;(2) Verilog HDL仿真器;(3) 混合型HDL仿真器,可同時(shí)處理Verilog HDL與VHDL;(4) 其他HDL仿真器,針對(duì)其他HDL的仿真,例如AHDL。ModelTech

23、nology公司的ModelSim是一個(gè)出色的VHDL/Verilog HDL混合型仿真器。它也屬于編譯型仿真器,仿真執(zhí)行速度較快。Cadence公司的Verilog-XL是最好的Verilog仿真器之一。按仿真的電路描述級(jí)別的不同,HDL仿真器可以單獨(dú)或綜合完成以下各仿真步驟:(1) 系統(tǒng)級(jí)仿真;(2) 行為級(jí)仿真;(3) RTL級(jí)仿真;(4) 門(mén)級(jí)時(shí)序仿真。按是否考慮硬件延時(shí)分類(lèi),仿真可分為功能仿真和時(shí)序仿真。根據(jù)輸入仿真文件的不同,仿真可以由不同的仿真器完成,也可以由同一個(gè)仿真器完成。幾乎所有的EDA廠(chǎng)商都提供了基于Verilog HDL和VHDL的仿真器。常用的HDL仿真器除上面提及的

24、ModelSim外,還有Aldec的Active HDL、Synopsys的VCS和Cadence的NC-Sim等。 4適配器適配器(布局布線(xiàn)器)的任務(wù)是完成目標(biāo)系統(tǒng)在器件上的布局布線(xiàn)。適配通常由可編程邏輯器件的廠(chǎng)商提供的專(zhuān)門(mén)針對(duì)器件開(kāi)發(fā)的軟件來(lái)完成。這些軟件可以單獨(dú)存在或嵌入在廠(chǎng)商的針對(duì)自己產(chǎn)品的集成EDA開(kāi)發(fā)環(huán)境中。例如,Lattice公司在其ispEXPERT開(kāi)發(fā)系統(tǒng)嵌有自己的適配器,同時(shí)還提供了性能良好、使用方便的專(zhuān)用適配器ispEXPERT Compiler; Altera公司的EDA集成開(kāi)發(fā)環(huán)境MAX+PLUS和Quartus中都含有嵌入的適配器Fitter;Xilinx公司的Fo

25、undation和ISE中也同樣含有自己的適配器。適配器最后輸出的是各廠(chǎng)商自己定義的下載文件,用于下載到器件中,以實(shí)現(xiàn)設(shè)計(jì)。5下載器(編程器)下載器(編程器)的作用是把設(shè)計(jì)下載到相應(yīng)的實(shí)際器件,完成硬件設(shè)計(jì)。第2章可編程邏輯器件2.1 可編程邏輯器件概述2.2 Altera公司的可編程邏輯器件 2.3 其他可編程邏輯器件 2.1 可編程邏輯器件概述可編程邏輯器件是指可以通過(guò)編制硬件描述程序?qū)崿F(xiàn)預(yù)定的邏輯功能的電子器件。FPGA(現(xiàn)場(chǎng)可編程門(mén)陣列)與CPLD(復(fù)雜可編程邏輯器件)是目前應(yīng)用較廣泛的兩種可編程邏輯器件,它們是在PAL和GAL等邏輯器件的基礎(chǔ)之上發(fā)展起來(lái)的。FPGA/CPLD的規(guī)模比

26、PAL和GAL器件大得多,可以替代幾十甚至幾千塊通用IC芯片。這樣的FPGA/CPLD實(shí)際上就是一個(gè)子系統(tǒng)部件。這種芯片受到世界范圍內(nèi)電子工程設(shè)計(jì)人員的廣泛關(guān)注和普遍歡迎。經(jīng)過(guò)了十幾年的發(fā)展,許多公司都開(kāi)發(fā)出了多種可編程邏輯器件,比較典型的就是Xilinx公司的FPGA器件系列和Altera公司的CPLD器件系列。CPLD通?;诔朔e項(xiàng)(product-term)技術(shù),采用EEPROM(或Flash)工藝,如Altera公司的MAX系列、Lattice公司的大部分產(chǎn)品及Xilinx公司的XC9500系列,這種CPLD都支持ISP技術(shù)在線(xiàn)編程,也可用編程器編程,并且可以加密。FPGA通?;诓檎?/p>

27、表(Look Up Table,LUT)技術(shù),采用SRAM工藝,如Altera公司的FLEX、ACEX、APEX系列和Xilinx公司的Spartan與Virtex系列。由于SRAM工藝的特點(diǎn)掉電后數(shù)據(jù)會(huì)消失,因此調(diào)試期間可以用下載電纜配置FPGA/CPLD器件,調(diào)試完成后,需要將數(shù)據(jù)固化在一個(gè)專(zhuān)用的EEPROM中(用通用編程器燒寫(xiě))。上電時(shí),由這片配置EEPROM先對(duì)FPGA/CPLD加載數(shù)據(jù),十幾毫秒后,F(xiàn)PGA/CPLD即可正常工作(亦可由CPU配置FPGA/CPLD)。對(duì)用戶(hù)而言,CPLD與FPGA的內(nèi)部結(jié)構(gòu)稍有不同,但用法一樣,所以多數(shù)情況下不加以區(qū)分。1FPGA/CPLD的優(yōu)點(diǎn)FP

28、GA/CPLD芯片都是特殊的ASIC芯片,除了具有ASIC的特點(diǎn)之外,還具有以下幾個(gè)優(yōu)點(diǎn)。(1) 芯片容量大。隨著超大規(guī)模集成電路(Very Large Scale IC,VLSI)工藝的不斷提高,單一芯片內(nèi)部可以容納上百萬(wàn)個(gè)晶體管,F(xiàn)PGA/CPLD芯片的規(guī)模也越來(lái)越大,其單片邏輯門(mén)數(shù)已達(dá)到上百萬(wàn),所能實(shí)現(xiàn)的功能越來(lái)越強(qiáng),同時(shí)還可以實(shí)現(xiàn)系統(tǒng)集成。(2) 質(zhì)量可靠。FPGA/CPLD芯片在出廠(chǎng)之前都做過(guò)測(cè)試,不需要設(shè)計(jì)人員承擔(dān)投片風(fēng)險(xiǎn)和費(fèi)用,設(shè)計(jì)人員只需在自己的實(shí)驗(yàn)室里就可以通過(guò)相關(guān)的軟/硬件環(huán)境來(lái)完成芯片的最終功能設(shè)計(jì)。所以,F(xiàn)PGA/CPLD的資金投入少,節(jié)省了許多潛在的花費(fèi)。(3) 可重

29、復(fù)使用。用戶(hù)可以反復(fù)地編程、擦除、使用或者在外圍電路不動(dòng)的情況下,用不同軟件實(shí)現(xiàn)不同的功能。因此,使用FPGA/CPLD試制樣片,能以最快的速度占領(lǐng)市場(chǎng)。FPGA/CPLD軟件包中有各種輸入工具、仿真工具、版圖設(shè)計(jì)工具及編程器等全線(xiàn)產(chǎn)品,使電路設(shè)計(jì)人員在很短的時(shí)間內(nèi)就可完成電路的輸入、編譯、優(yōu)化、仿真,直至最后芯片的制作。當(dāng)電路有少量改動(dòng)時(shí),更能顯示出FPGA/CPLD的優(yōu)勢(shì)。電路設(shè)計(jì)人員使用FPGA/CPLD進(jìn)行電路設(shè)計(jì)時(shí),不需要具備專(zhuān)門(mén)的IC(集成電路)深層次的知識(shí)。FPGA/CPLD軟件易學(xué)易用,可以使設(shè)計(jì)人員集中精力進(jìn)行電路設(shè)計(jì),快速將產(chǎn)品推向市場(chǎng)。2FPGA的分類(lèi)FPGA的發(fā)展非常迅

30、速,形成了各種不同的結(jié)構(gòu)。根據(jù)不同的分類(lèi)方法,F(xiàn)PGA可分為多種類(lèi)型。(1) 按邏輯功能塊的大小分類(lèi),F(xiàn)PGA可分為細(xì)粒度FPGA和粗粒度FPGA。細(xì)粒度FPGA的邏輯功能塊較小,資源可以充分利用,但連線(xiàn)和開(kāi)關(guān)多,速度慢;粗粒度FPGA的邏輯功能塊規(guī)模大,功能強(qiáng),但資源不能充分利用。(2) 按邏輯功能塊的結(jié)構(gòu)分類(lèi),F(xiàn)PGA可分為查找表結(jié)構(gòu)、多路開(kāi)關(guān)結(jié)構(gòu)和多級(jí)與非門(mén)結(jié)構(gòu)。(3) 按內(nèi)部連線(xiàn)的結(jié)構(gòu)分類(lèi),F(xiàn)PGA可分為分段互連型FPGA和連續(xù)互連型FPGA兩類(lèi)。分段互連型FPGA中具有多種不同長(zhǎng)度的金屬線(xiàn)段,各金屬線(xiàn)段之間通過(guò)開(kāi)關(guān)矩陣或反熔絲編程連接,走線(xiàn)靈活方便,但走線(xiàn)延時(shí)無(wú)法預(yù)測(cè);連續(xù)互連型FP

31、GA利用相同長(zhǎng)度的金屬線(xiàn)段,連接與距離遠(yuǎn)近無(wú)關(guān),布線(xiàn)延時(shí)是固定的和可預(yù)測(cè)的。(4) 根據(jù)編程方式,F(xiàn)PGA可分為一次編程型FPGA和可重復(fù)編程型FPGA兩類(lèi)。一次編程型FPGA采用反熔絲(anti-fuse)技術(shù),只能編程一次,因此產(chǎn)品初期開(kāi)發(fā)過(guò)程比較麻煩,成本較高,但這類(lèi)器件集成度高、布線(xiàn)能力強(qiáng)、阻抗低、寄生電容小、速度快、功耗低,此外還具有加密位、防拷貝、抗輻射、抗干擾、不需外接PROM或EPROM的特點(diǎn),所以它在一些有特殊要求的領(lǐng)域(如軍事及航空航天)中運(yùn)用較多。Actel公司和Quicklogic公司提供此類(lèi)產(chǎn)品。 可重復(fù)編程型FPGA采用SRAM開(kāi)關(guān)元件或快閃EPROM控制的開(kāi)關(guān)元件

32、,配置數(shù)據(jù)存儲(chǔ)在SRAM或快閃EPROM中。SRAM型FPGA的突出優(yōu)點(diǎn)是可反復(fù)編程,系統(tǒng)上電時(shí),給FPGA加載不同的配置數(shù)據(jù)就可完成不同的硬件功能,甚至在系統(tǒng)運(yùn)行中改變配置,實(shí)現(xiàn)系統(tǒng)功能的動(dòng)態(tài)重構(gòu)。快閃EPROM型FPGA具有非易失性和可重復(fù)編程的雙重優(yōu)點(diǎn),但不能動(dòng)態(tài)重構(gòu),功耗也較SRAM型FPGA高。 3FPGA/CPLD的組成概括地說(shuō),F(xiàn)PGA/CPLD器件均由邏輯陣列塊(Logic Array Block,LAB)、輸入/輸出塊(IO Block,IOB)和可編程連線(xiàn)陣列(Programmable Interconnect Array,PIA)三部分組成。這三部分之間的結(jié)構(gòu)如圖2.1所

33、示。其中LAB構(gòu)成了PLD器件的邏輯組成核心,PIA控制LAB間的互連,IOB控制輸入/輸出與LAB之間的連接。圖2.1 FPGA/CPLD的組成 2.2 Altera公司的可編程邏輯器件FLEX(Flexible Logic Element Matrix,靈活邏輯單元矩陣)系列器件采用查找表(LUT)結(jié)構(gòu);MAX(Multiple Array Matrix,多陣列矩陣)系列器件采用“與”可編程“或”固定的乘積項(xiàng)(product-term)結(jié)構(gòu);APEX(AdVanced Programmable Element Matrix,先進(jìn)可編程邏輯矩陣)系列器件采用查找表(LUT)和嵌入式寄存器結(jié)構(gòu)

34、;ExcaliburTM系列的結(jié)構(gòu)特征是基于A(yíng)RM和MIPS的硬核微處理器。每種系列器件針對(duì)不同的應(yīng)用,有其各自的特點(diǎn)。2.2.1 MAX系列器件1MAX9000系列器件MAX9000系列器件是基于A(yíng)ltera公司第三代MAX結(jié)構(gòu)的在線(xiàn)可編程、高密度和高性能的EPLD(電可擦除可編程邏輯器件),它采用先進(jìn)的CMOS EEPROM工藝制造。MAX9000系列器件把基于第二代MAX結(jié)構(gòu)的MAX7000的高效宏單元結(jié)構(gòu)與FLEX的高性能、延遲可預(yù)測(cè)的快速通道(Fast-Track)互連結(jié)構(gòu)結(jié)合在一起。MAX9000系列器件提供了600012 000個(gè)可用門(mén),引腳之間的延時(shí)為10 ns,計(jì)數(shù)器速率可達(dá)

35、144 MHz。MAX9000系列器件的特性如表2.1所示。MAX9000系列器件的結(jié)構(gòu)支持系統(tǒng)級(jí)邏輯函數(shù)的高密度集成。它容易將多種可編程邏輯器件集成,其范圍從PAL、GAL,一直到FPGA和EPLD。2MAX7000系列器件MAX7000系列器件是Altera公司速度最快的高速可編程邏輯器件,它基于A(yíng)ltera公司第二代MAX乘積項(xiàng)結(jié)構(gòu),是采用先進(jìn)的CMOS EEPROM工藝制造的EPLD,同時(shí)也是Altera公司銷(xiāo)量最大的PLD產(chǎn)品。MAX7000系列器件(包括MAX7000A、MAX7000E和MAX7000S)的集成度為60010 000個(gè)可用門(mén),321024個(gè)宏單元,以及36212個(gè)

36、用戶(hù)I/O引腳。這些基于EEPROM的器件能夠提供快至4.5 ns的組合傳輸延遲,16位計(jì)數(shù)器工作頻率可達(dá)192.3 MHz。 此外,MAX7000的輸入寄存器的建立時(shí)間非常短,能提供多個(gè)系統(tǒng)時(shí)鐘且有可編程的速度/功耗控制。MAX7000E是MAX7000系列的增強(qiáng)型,具有更高的集成度。MAX7000S器件也具有MAX7000E器件的增強(qiáng)特性,可通過(guò)工業(yè)標(biāo)準(zhǔn)四引腳JTAG接口實(shí)現(xiàn)在線(xiàn)可編程。MAX7000器件通過(guò)嵌入IEEE標(biāo)準(zhǔn)1149.1(JTAG)接口支持3.3VISP,并具有高級(jí)引腳鎖定功能。這種器件具有節(jié)能模式,用戶(hù)可以將信號(hào)通路和整個(gè)器件定義為一個(gè)低功耗模式。因?yàn)榇蠖鄶?shù)邏輯應(yīng)用中只要

37、求小部分邏輯門(mén)工作在最高頻率上,所以使用這一特性,可使器件整體能耗減少50%以上。 MAX7000器件還具有可編程壓擺率控制、六個(gè)引腳或邏輯驅(qū)動(dòng)輸出使能信號(hào)、快速建立時(shí)間輸入寄存器、多電壓I/O接口能力和擴(kuò)展乘積項(xiàng)分布可配置等結(jié)構(gòu)特性。3MAX5000系列器件MAX5000系列器件是Altera公司的第一代MAX器件,廣泛應(yīng)用于需要高級(jí)組合邏輯的場(chǎng)合。這類(lèi)器件集成度為6003750個(gè)可用門(mén)、28100個(gè)可用I/O引腳?;贓PROM的MAX5000器件的編程信息不易丟失,可用紫外線(xiàn)進(jìn)行擦除。由于該系列器件已成熟,加之Altera公司對(duì)其不斷改進(jìn)和采用更先進(jìn)的工藝,因此MAX5000器件每個(gè)宏單

38、元的價(jià)格接近于大批量生產(chǎn)的ASIC和門(mén)陣列。4MAX3000A系列器件MAX3000A系列器件是Altera公司1999年推出的3.3 V低價(jià)格、高集成度的可編程邏輯器件,其結(jié)構(gòu)與MAX7000的基本一樣,集成度范圍為6005000個(gè)可用門(mén)、32512個(gè)宏單元、34128個(gè)可用I/O引腳。這些基于EEPROM器件的組合傳輸延遲快至4.5 ns,16位計(jì)數(shù)器的頻率可達(dá)192.3 MHz。MAX3000A具有多個(gè)系統(tǒng)時(shí)鐘,還具有可編程的速度/功耗控制功能。MAX3000A器件提供JTAG BST回路和ISP支持,可通過(guò)工業(yè)標(biāo)準(zhǔn)四引腳JTAG接口實(shí)現(xiàn)在線(xiàn)編程。這些器件支持熱插拔和多電壓接口,其I/O

39、接口與5.0 V、3.3 V和2.5 V邏輯電平兼容。2.2.2 FLEX系列器件1FLEX10K系列器件由于FLEX10K具有高密度、低成本、低功率等特點(diǎn),因此它已成為當(dāng)今Altera公司的PLD中應(yīng)用最廣泛的器件系列之一。FLEX10K的集成度已達(dá)到25萬(wàn)門(mén)。FLEX10K能讓設(shè)計(jì)人員輕松地開(kāi)發(fā)出集存儲(chǔ)器、數(shù)字信號(hào)處理器及特殊邏輯(包括32位多總線(xiàn)系統(tǒng))等強(qiáng)大功能于一身的芯片。到目前為止,Altera公司已經(jīng)推出了FLEX10K、FLEX10KA、FLEX10KV、FLEX10KE等分支系列器件。FLEX10K的結(jié)構(gòu)類(lèi)似于嵌入式門(mén)陣列,是門(mén)陣列市場(chǎng)中成長(zhǎng)最快的器件。像標(biāo)準(zhǔn)門(mén)陣列一樣,嵌入式

40、門(mén)陣列采用一般的門(mén)海(sea-of-gates)結(jié)構(gòu)實(shí)現(xiàn)普通邏輯,因此,在實(shí)現(xiàn)大的特殊邏輯時(shí)會(huì)有潛在死區(qū)。與標(biāo)準(zhǔn)門(mén)陣列相比,嵌入式門(mén)陣列通過(guò)在硅片中嵌入邏輯塊的方法來(lái)減少死區(qū),提高速度。然而,典型的嵌入式宏功能模塊通常是不能改變的,這就限制了設(shè)計(jì)人員的選擇。相反,F(xiàn)LEX10K器件是可編程的,在調(diào)試時(shí)它允許設(shè)計(jì)人員全面控制嵌入式宏功能模塊和一般的邏輯,可以方便地反復(fù)修改設(shè)計(jì)。每個(gè)FLEX10K器件包含一個(gè)嵌入式陣列和一個(gè)邏輯陣列。嵌入式陣列用來(lái)實(shí)現(xiàn)各種存儲(chǔ)器及復(fù)雜的邏輯功能,如數(shù)字信號(hào)處理、微控制器、數(shù)據(jù)傳輸?shù)?。邏輯陣列用?lái)實(shí)現(xiàn)普通邏輯功能,如計(jì)數(shù)器、加法器、狀態(tài)機(jī)、多路選擇器等。嵌入式陣列和

41、邏輯陣列結(jié)合而成的嵌入式門(mén)陣列的高性能和高密度特性,使得設(shè)計(jì)人員可在單個(gè)器件中實(shí)現(xiàn)一個(gè)完整的系統(tǒng)。FLEX10K器件的配置通常是在系統(tǒng)上電時(shí)通過(guò)存儲(chǔ)于一個(gè)串行存儲(chǔ)器中的配置數(shù)據(jù)或者由系統(tǒng)控制器提供的配置數(shù)據(jù)來(lái)完成的。Altera公司提供EPC1、EPC2、EPC16和EPC1441等專(zhuān)用配置器件,配置數(shù)據(jù)也能從系統(tǒng)RAM和BitBlaster串行下載電纜或ByteBlasterMV并行下載電纜獲得。對(duì)于配置過(guò)的器件,可以通過(guò)重新復(fù)位器件、加載新數(shù)據(jù)的方法實(shí)現(xiàn)在線(xiàn)可配置(In-Circuit Reconfigurability,ICR)。由于重新配置要求少于320 ms,因此可在系統(tǒng)工作時(shí)實(shí)時(shí)改

42、變配置。表2.2列出了常見(jiàn)的FLEX10K系列器件的性能。2FLEX8000系列器件FLEX8000系列器件適合于需要大量寄存器和I/O引腳的應(yīng)用系統(tǒng)。該系列器件的集成度范圍為250016 000個(gè)可用門(mén),具有2821500個(gè)寄存器以及78208個(gè)用戶(hù)I/O引腳。FLEX8000能夠通過(guò)外部配置EPROM或智能控制器進(jìn)行在線(xiàn)配置,并提供了多電壓I/O接口,允許器件橋接在以不同電壓工作的系統(tǒng)中。這些特點(diǎn)及其高性能、可預(yù)測(cè)速度的互連方式,使該系列器件像基于乘積項(xiàng)結(jié)構(gòu)的器件一樣易于使用。此外,F(xiàn)LEX8000以SRAM為基礎(chǔ),使其維持狀態(tài)的功耗很低,并且可進(jìn)行在線(xiàn)重新配置。上述特點(diǎn)使FLEX8000

43、非常適合于PC的插卡、由電池供電的儀器以及多功能的電信卡之類(lèi)的應(yīng)用。FLEX8000系列器件的性能如表2.3所示。2.2.3 Cyclone系列器件Cyclone系列器件是有史以來(lái)成本最低的FPGA,根據(jù)推出時(shí)間的不同,可分為Cyclone系列器件、Cyclone 系列器件和Cyclone 系列器件。1Cyclone系列器件Cyclone系列器件是第一代產(chǎn)品,具有為消費(fèi)類(lèi)、工業(yè)、器件、計(jì)算機(jī)和通信市場(chǎng)大批量成本敏感應(yīng)用優(yōu)化的特性。Cyclone系列器件采用了成本優(yōu)化的全銅1.5 V SRAM工藝,容量為291020 060個(gè)邏輯單元,內(nèi)部具有多達(dá)294 912 bit的嵌入RAM。 Cyclo

44、ne系列器件支持多種單端I/O標(biāo)準(zhǔn),如LVTTL、LVCMOS、PCI和SSTL-2/3,具有一個(gè)簡(jiǎn)化的LVDS,支持多達(dá)129個(gè)通道,每個(gè)通道的吞吐量可達(dá)311 Mb/s。Cyclone系列器件具有專(zhuān)用電路實(shí)現(xiàn)雙數(shù)據(jù)率(DDR)的SDRAM和FCRAM接口。Cyclone系列器件最多有兩個(gè)鎖相環(huán)(PLL),共有6個(gè)輸出和層次化時(shí)鐘結(jié)構(gòu),為復(fù)雜設(shè)計(jì)提供了強(qiáng)大的時(shí)鐘管理電路。Cyclone系列器件的性能如表2.4所示。2Cyclone系列器件Cyclone系列器件是第二代的Cyclone系列FPGA,采用90 nm工藝生產(chǎn),每個(gè)邏輯單元成本比Cyclone系列低30%,內(nèi)核電壓降為1.2 V,大

45、大降低了器件的功耗。器件集成了460868 416個(gè)邏輯單元,較第一代增加了數(shù)倍,可滿(mǎn)足復(fù)雜的應(yīng)用需要。Cyclone系列FPGA提供多達(dá)1.1 Mb的嵌入式存儲(chǔ)器,可以配置為RAM、ROM、先入先出(FIFO)緩沖器以及單端口和雙端口等多種模式。Cyclone系列FPGA提供最多150個(gè)18 bit18 bit乘法器,是低成本數(shù)字信號(hào)處理(DSP)應(yīng)用的理想方案。這些乘法器可用于實(shí)現(xiàn)通用DSP功能,如有限沖激響應(yīng)(FIR)濾波器、快速傅里葉變換、相關(guān)器、編/解碼器以及數(shù)控振蕩器(NCO)等。Cyclone系列FPGA支持高級(jí)外部存儲(chǔ)器接口,允許開(kāi)發(fā)人員集成外部單倍數(shù)據(jù)速率(SDR)、雙倍數(shù)據(jù)

46、速率(DDR)、167 MHz DDR2 SDRAM器件以及第二代四倍數(shù)據(jù)速率(QDR) SRAM器件,數(shù)據(jù)速率最高可達(dá)668 Mb/s。Cyclone系列器件提供差分信號(hào)支持,包括LVDS、RSDS、mini-LVDS、LVPECL、SSTL和HSTL I/O標(biāo)準(zhǔn)。LVDS標(biāo)準(zhǔn)支持接收端最高805 Mb/s的數(shù)據(jù)速率,發(fā)送端最高622 Mb/s的數(shù)據(jù)速率。Cyclone系列器件支持各種單端I/O 標(biāo)準(zhǔn),如當(dāng)前系統(tǒng)中常用的LVTTL、LVCMOS、SSTL、HSTL、PCI和PCI-X標(biāo)準(zhǔn)。Cyclone系列器件支持串行總線(xiàn)和網(wǎng)絡(luò)接口(如PCI和PCI-X),快速訪(fǎng)問(wèn)外部存儲(chǔ)器件,同時(shí)還支持大

47、量通信協(xié)議,包括以太網(wǎng)協(xié)議和通用接口。Cyclone系列器件支持最多4個(gè)可編程鎖相環(huán)(PLL)和最多16個(gè)全局時(shí)鐘線(xiàn),提供強(qiáng)大的時(shí)鐘管理和頻率合成功能,使系統(tǒng)性能最大化。這些PLL提供的高級(jí)特性包括頻率合成、可編程占空比、外部時(shí)鐘輸出、可編程帶寬、輸入時(shí)鐘擴(kuò)頻、鎖定探測(cè)以及支持差分輸入/輸出時(shí)鐘信號(hào)。Cyclone系列器件的Nios嵌入式處理器降低了成本,提高了靈活性,給低成本分立式微處理器提供了一個(gè)理想的替代方案。Cyclone系列FPGA支持驅(qū)動(dòng)阻抗匹配和片內(nèi)串行終端匹配。片內(nèi)匹配消除了對(duì)外部電阻的需求,提高了信號(hào)完整性,簡(jiǎn)化了電路板設(shè)計(jì)。Cyclone系列FPGA通過(guò)外部電阻還可支持并行

48、匹配和差分匹配。Cyclone系列FPGA具有快速接通能力,上電后能夠迅速工作,是汽車(chē)等需要快速啟動(dòng)應(yīng)用的理想選擇。在器件訂購(gòu)碼中以“A”表示具有較短上電復(fù)位(POR)時(shí)間的Cyclone系列FPGA(如EP2C5A、EP2C8A、EP2C15A和EP2C20A)。Cyclone系列器件提供片內(nèi)熱插拔以及上電順序支持,以確保器件正確操作不依賴(lài)上電順序。該特性同時(shí)實(shí)現(xiàn)了上電之前和上電過(guò)程中對(duì)器件和三態(tài)I/O緩沖的保護(hù)。Cyclone系列器件的性能如表2.5所示。3Cyclone系列器件Cyclone系列器件為第三代FPGA,采用65 nm TSMC低功耗工藝制造,每個(gè)邏輯單元成本比Cyclone

49、 FPGA低20%,功耗比Cyclone FPGA低50%。器件集成了10 320119 088個(gè)邏輯單元,較Cyclone翻了一番。Cyclone系列器件內(nèi)嵌了M9K RAM模塊,提供了多達(dá)4 Mb的片內(nèi)存儲(chǔ)器,工作速度達(dá)到260 MHz。Cyclone系列FPGA支持高級(jí)外部存儲(chǔ)器接口,允許開(kāi)發(fā)人員集成外部單倍數(shù)據(jù)速率(SDR)、雙倍數(shù)據(jù)速率(DDR)、200 MHz DDR2 SDRAM器件以及第二代四倍數(shù)據(jù)速率(QDR )SRAM器件。Cyclone系列器件提供差分信號(hào)支持,包括LVDS、RSDS、mini-LVDS、LVPECL、SSTL和HSTL I/O標(biāo)準(zhǔn),擁有專(zhuān)用LVDS 輸出

50、緩沖,LVDS標(biāo)準(zhǔn)支持接收端最高875 Mb/s的數(shù)據(jù)速率,發(fā)送端最高840 Mb/s的數(shù)據(jù)速率。Cyclone系列器件支持最多達(dá)4個(gè)可編程鎖相環(huán)(PLL)和最多20個(gè)PLL輸出,擁有20個(gè)專(zhuān)用全局時(shí)鐘,提供強(qiáng)大的時(shí)鐘管理和頻率合成功能,使系統(tǒng)性能最大化。PLL之間可以級(jí)聯(lián)使用,還支持動(dòng)態(tài)配置。Cyclone系列器件的性能如表2.6所示。2.2.4 ACEX1K系列器件ACEX系列器件將查找表(LUT)和EAB相結(jié)合,提供了效率最高而又廉價(jià)的結(jié)構(gòu)。基于LUT的邏輯對(duì)數(shù)據(jù)路徑管理、寄存器強(qiáng)度、數(shù)學(xué)計(jì)算或數(shù)字信號(hào)處理(DSP)的設(shè)計(jì)提供優(yōu)化的性能和效率,而EAB可實(shí)現(xiàn)RAM、ROM、雙口RAM或F

51、IFO功能,這使得ACEX1K適用于實(shí)現(xiàn)復(fù)雜邏輯及存儲(chǔ)器功能(如數(shù)字信號(hào)處理、寬域數(shù)據(jù)路徑管理、數(shù)據(jù)變換和微處理器)等的各種高性能通信的應(yīng)用?;诳芍貥?gòu)CMOS SRAM單元,ACEX1K結(jié)構(gòu)具有實(shí)現(xiàn)一般門(mén)陣列宏功能需要的所有特征,相應(yīng)的多引腳數(shù)提供與系統(tǒng)元器件的有效接口。先進(jìn)的處理功能和2.5 V低電壓要求,使得ACEX1K器件滿(mǎn)足廉價(jià)、高容量的應(yīng)用需要,如DSL調(diào)制解調(diào)器及低價(jià)的交換機(jī)。每個(gè)ACEX1K器件包含一個(gè)實(shí)現(xiàn)存儲(chǔ)器及特殊邏輯功能的增強(qiáng)型嵌入式存儲(chǔ)器陣列和一個(gè)實(shí)現(xiàn)一般邏輯的邏輯陣列。嵌入式存儲(chǔ)器陣列由一系列EAB組成,每個(gè)EAB提供4096 bit存儲(chǔ)空間。邏輯陣列由邏輯陣列塊(L

52、AB)組成,每個(gè)LAB包含8個(gè)邏輯單元(LE)和一個(gè)局部互連。一個(gè)LE由一個(gè)4輸入LUT、一個(gè)可編程觸發(fā)器和為了實(shí)現(xiàn)進(jìn)位及級(jí)聯(lián)功能的專(zhuān)用信號(hào)路徑組成。8個(gè)LE可以實(shí)現(xiàn)中規(guī)模的邏輯塊(如8位計(jì)數(shù)器、地址譯碼器或狀態(tài)機(jī)),或跨LAB進(jìn)行組合以建立更大的邏輯塊。每個(gè)LAB代表大約96個(gè)可用邏輯門(mén)。表2.7列出了ACEX1K系列器件的性能。2.2.5 StratixTM系列器件1Stratix系列器件Stratix系列器件是所有復(fù)雜設(shè)計(jì)的理想方案,它解決了高帶寬系統(tǒng)面臨的問(wèn)題,具有無(wú)可匹敵的內(nèi)核性能、存儲(chǔ)容量和面市優(yōu)勢(shì)。Stratix系列器件也具有專(zhuān)用的時(shí)鐘管理和數(shù)字信號(hào)處理(DSP)應(yīng)用的功能,支持

53、單端和差分I/O標(biāo)準(zhǔn),還具有片內(nèi)終結(jié)和遠(yuǎn)程系統(tǒng)升級(jí)能力。Stratix系列器件應(yīng)用于具有多功能、高帶寬要求的系統(tǒng),把可編程單芯片系統(tǒng)(SOPC)方案提升到了一個(gè)新的水平。 Stratix系列器件采用1.5 V、0.13 pm和全銅SRAM工藝制造,容量為10 57079 040個(gè)邏輯單元,RAM位數(shù)多達(dá)7.4 Mb。Stratix系列器件具有多達(dá)28個(gè)DSP模塊和224個(gè)(9 bit9 bit)嵌入式乘法器,可為需要高數(shù)據(jù)吞吐量的復(fù)雜的應(yīng)用進(jìn)行優(yōu)化。Stratix系列器件也具有True-LVDSTM電路,支持LVDS、LVPECL、PCML和HyperTranport差分I/O電氣標(biāo)準(zhǔn),還有高

54、速通信接口,包括10 Gb Ethernet XSBI、SFI-4、POS-PHYLevel 4(SPI-4Phase 2)、HyperTransport、RapidIO和UTOPIA標(biāo)準(zhǔn)。Stratix系列器件也提供了完整的時(shí)鐘管理方案,具有層次化的結(jié)構(gòu)和多達(dá)9個(gè)鎖相環(huán)(PLL)。表2.8列出了Stratix系列器件的特性。2Stratix GX系列器件Stratix GX系列器件采用Altera Stratix體系,融合了FPGA體系和高性能的數(shù)千兆收發(fā)器技術(shù)。Stratix GX系列器件具有多達(dá)20個(gè)全雙工收發(fā)器通道,每個(gè)通道的速率高達(dá)3.125 Gb/s,滿(mǎn)足了高速背板和芯片間通信的需

55、求。另外,Stratix GX系列器件具有嵌入均衡電路,每個(gè)通道的功耗非常低,具有40英寸的FR4背板驅(qū)動(dòng)能力。Stratix GX系列器件也提供了具有專(zhuān)用動(dòng)態(tài)相位調(diào)整(DPA)電路的源同步差分信號(hào),工作速率可達(dá)1 Gb/s。Stratix GX系列的FPGA器件采用1.5 V、0.13 m和全銅SRAM工藝制造,容量為10 57041 250個(gè)邏輯單元,具有3 Mb的RAM。Stratix GX系列器件支持LVDS、LVPECL、3.3 V PCML和HyperTransport差分I/O電氣標(biāo)準(zhǔn)。這些器件支持幾種高速協(xié)議,包括10 Gb以太網(wǎng)(XAUI和XSBI)、SONET/SDH、千兆

56、以太網(wǎng)、InfiniBand、1G和2G光纖通道、串行RapidIO、SFI-5、SFI-4、POS-PHYLevel 4(SPI-4Phase 2)、HyperTranport、RapidIO、PCI Express、SMPTE292M和UTOPIA標(biāo)準(zhǔn)。Stratix GX系列器件也具有層次化時(shí)鐘結(jié)構(gòu)和多達(dá)8個(gè)鎖相環(huán)(PLL)的完整時(shí)鐘管理方案,14個(gè)具有多達(dá)112(9 bit9 bit)個(gè)嵌入式乘法器的DSP,并為需要大數(shù)據(jù)吞吐量的復(fù)雜應(yīng)用進(jìn)行優(yōu)化。Stratix GX系列器件的性能如表2.9所示。 3Stratix系列器件Stratix系列的FPGA采用90 nm技術(shù)構(gòu)建,能夠提供無(wú)與

57、倫比的密度和邏輯效率。Stratix系列器件相比于競(jìng)爭(zhēng)對(duì)手的FPGA產(chǎn)品多出5%的邏輯、50%的存儲(chǔ)器,DSP資源多出4倍,而用戶(hù)I/O多出21%。Stratix系列器件適用于迫切需要在A(yíng)SIC下單之前對(duì)設(shè)計(jì)進(jìn)行驗(yàn)證的ASIC原型的應(yīng)用。Stratix系列的FPGA是創(chuàng)新邏輯體系結(jié)構(gòu)的產(chǎn)物,與前一代產(chǎn)品系列相比,其性能平均快50%,而邏輯占用降低25%。Stratix架構(gòu)是業(yè)界最快的FPGA架構(gòu),在極其成功的Stratix架構(gòu)之上提供了先進(jìn)的功能,而且還具有新的邏輯結(jié)構(gòu)、帶動(dòng)態(tài)相位調(diào)整(DPA)電路的源同步信號(hào)的功能和采用配置比特流加密技術(shù)的設(shè)計(jì)安全技術(shù)。Stratix系列器件具有152個(gè)接收

58、機(jī)和156個(gè)發(fā)送機(jī)通道,支持高達(dá)1 Gb/s的數(shù)據(jù)傳送速率的源同步信號(hào)。Stratix系列器件具有嵌入DPA電路,消除了使用源同步信號(hào)技術(shù)長(zhǎng)距離傳送信號(hào)時(shí)由偏移引發(fā)的相位對(duì)齊問(wèn)題,從而簡(jiǎn)化了印刷電路板( PCB )布局。Stratix系列的FPGA支持高達(dá)1 Gb/s的高速差分I/O信號(hào),滿(mǎn)足新興接口包括LVDS、LVPECL和HyperTranspor標(biāo)準(zhǔn)的高性能需求。Stratix系列器件支持對(duì)系統(tǒng)需求很?chē)?yán)格的大帶寬、單端I/O接口標(biāo)準(zhǔn)(SSTL、HSTL、PCI和PCI-X)的需求。Stratix系列器件支持多種高速接口標(biāo)準(zhǔn)(SPI-4.2、SFI-4、10Gb以太網(wǎng)XSBI、Hyper

59、Transport、RapidIO、NPSI以及UTOPIA IV),具有高度的靈活性和快速的面市時(shí)間。Stratix系列器件采用128 b高級(jí)加密標(biāo)準(zhǔn)(AES)算法對(duì)配置比特流進(jìn)行加密,支持設(shè)計(jì)安全性。Stratix系列的FPGA中的TriMatrix存儲(chǔ)器具有多達(dá)9 Mb的RAM。這種先進(jìn)的存儲(chǔ)結(jié)構(gòu)包括三種大小的嵌入存儲(chǔ)器塊M512、M4K和M-RAM塊,可配置支持多種特性。Stratix系列器件提供先進(jìn)的外部存儲(chǔ)接口,允許設(shè)計(jì)者將外部大容量SRAM和DRAM器件集成到復(fù)雜系統(tǒng)設(shè)計(jì)中,而不會(huì)降低數(shù)據(jù)存取的性能。Stratix系列器件包括高性能的嵌入DSP塊,它能夠運(yùn)行在370 MHz,并為

60、DSP應(yīng)用進(jìn)行優(yōu)化。DSP塊消除了大計(jì)算量應(yīng)用中的性能瓶頸,提供了可預(yù)測(cè)和可靠的性能,這樣既節(jié)省了資源又不會(huì)損失性能。Stratix系列器件具有比DSP處理器更大的數(shù)據(jù)處理能力,實(shí)現(xiàn)最大的系統(tǒng)性能。Stratix系列器件提供了靈活實(shí)現(xiàn)的軟核處理器,它可以配置成不同的數(shù)據(jù)寬度和延遲。軟核處理器除了提供DSP塊外,還具有非常高的DSP吞吐量。每個(gè)Stratix系列器件具有多達(dá)16個(gè)高性能的低偏移全局時(shí)鐘,它可以用于高性能功能或全局控制信號(hào)。另外,每個(gè)區(qū)域8個(gè)本地(區(qū)域)時(shí)鐘將任何區(qū)域的時(shí)鐘總數(shù)增加至24個(gè)。這種高速時(shí)鐘網(wǎng)和充裕的PLL緊密配合,可確保最復(fù)雜的設(shè)計(jì)能夠運(yùn)行在優(yōu)化性能和最小偏移的時(shí)鐘下

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論