數(shù)字邏輯及試驗.ppt課件_第1頁
數(shù)字邏輯及試驗.ppt課件_第2頁
數(shù)字邏輯及試驗.ppt課件_第3頁
數(shù)字邏輯及試驗.ppt課件_第4頁
數(shù)字邏輯及試驗.ppt課件_第5頁
已閱讀5頁,還剩105頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、華東師范大學計算機系1 倒數(shù)行6: C211=(S2,S3) C211=(S1,S3)本章錯誤 P286 倒數(shù)行8: C111=(S0,S1) C111=(S0,S2) 2010華東師范大學計算機系2本章錯誤 P294 表7-19 10列: S2/1 S2/0 S1/1 S1/0 S0/0 S0/02010華東師范大學計算機系3本章錯誤 P295 行3: F2F1X F2F1 表7-21,X=1 D1列: 1/0 1/0 0/0 0/0 1/0 1/0 0/1 1/02010華東師范大學計算機系4本章錯誤 P297 表7-23 行5: D B/0 E/1 D B/0 E/0 P306 行1、行

2、2、倒數(shù)行3: 1000 1.0002010華東師范大學計算機系5本章錯誤 P311 行15: =(F3F2) =(F3F2) 圖7- 47 a)改為:00011110 0 0 1 1 d d00011110 0 1 1 d d 圖7- 47 b)改為:2010華東師范大學計算機系6本章錯誤 P318 右矩形1: CTLN CTCN 右菱形3: TCHR TCRH P325 倒數(shù)行7: U6B(LS112)=22ns U6B(LS112)=20ns2010華東師范大學計算機系7 同步時序電路的設(shè)計: (1)根據(jù)需求 狀態(tài)圖、狀態(tài)表 (2)狀態(tài)分配 狀態(tài)轉(zhuǎn)移表 (3)狀態(tài)轉(zhuǎn)移表 激勵表 觸發(fā)器特

3、征表 (4)卡諾圖化簡 激勵函數(shù)表達式 輸出函數(shù)表達式 (5)電路實現(xiàn)2010華東師范大學計算機系8同步時序電路設(shè)計的進一步優(yōu)化: (1)根據(jù)需求 狀態(tài)圖、狀態(tài)表 (2)狀態(tài)化簡最小化狀態(tài)表 (3)狀態(tài)分配 得狀態(tài)轉(zhuǎn)移表 (4)狀態(tài)轉(zhuǎn)移表 激勵表 觸發(fā)器特征表 (5)卡諾圖化簡 激勵函數(shù)表達式 輸出函數(shù)表達式 (6)電路實現(xiàn)2010華東師范大學計算機系9 7 時序電路設(shè)計 狀態(tài)化簡 狀態(tài)分配 復雜時序電路的設(shè)計2010華東師范大學計算機系10 7 時序電路設(shè)計7.1 狀態(tài)等價7.2 狀態(tài)化簡7.3 不完全定義狀態(tài)表的狀態(tài)化簡7.4 狀態(tài)分配方法7.5 算法狀態(tài)機7.6 鏈接時序機2010華東師

4、范大學計算機系11 7 時序電路設(shè)計7.1 狀態(tài)等價2010華東師范大學計算機系12 7.1 狀態(tài)等價 設(shè)計步驟: 根據(jù)功能要求構(gòu)成狀態(tài)圖 原始狀態(tài)圖 構(gòu)成最小化狀態(tài)表 狀態(tài)化簡消除冗余狀態(tài),降低成本 狀態(tài)化簡是建立在狀態(tài)等價基礎(chǔ)上的2010華東師范大學計算機系13 7.1 狀態(tài)等價 1狀態(tài)等價定理 S1與S2等價: 當且僅當兩狀態(tài)每種可能的輸入序 列其輸出相等且次態(tài)等價,則稱: S1與S2等價,記作(S1、S2)。2010華東師范大學計算機系14 1狀態(tài)等價定理 等價條件: 輸出相同 次態(tài)等價(滿足下列條件之一): 次態(tài)相同 次態(tài)交替: S1的次態(tài)為S2 S2的次態(tài)為S1 次態(tài)循環(huán): S1和

5、S2的次態(tài)為S3和S4 S3和S4的次態(tài)為S1和S22010華東師范大學計算機系15 7.1 狀態(tài)等價 2等價性質(zhì): 對稱性:若S1=S2,則S2=S1 自反性:對任何狀態(tài),S1=S1 傳遞性:若S1=S2,且S2=S3, 則S1=S32010華東師范大學計算機系16 7.1 狀態(tài)等價 3等價類 等價類是若干狀態(tài)的集合,在此集合中,任何兩個狀態(tài)都是相互等價的。 (S1,S2)(S1,S3)(S1,S2,S3) 利用等價定理可進行狀態(tài)化簡2010華東師范大學計算機系17 7 時序電路設(shè)計7.1 狀態(tài)等價7.2 狀態(tài)化簡2010華東師范大學計算機系18 7.2 狀態(tài)化簡 方法: 等價類表 隱含表

6、一、等價類表化簡法: 教材 P286討論 2010華東師范大學計算機系19 7.2 狀態(tài)化簡二、隱含表化簡法 提供一種識別冗余狀態(tài)的圖形 化方法 隱含表:圖7-1 每個方格代表一對隱含的等價 可能性 2010華東師范大學計算機系20二、隱含表化簡法 1化簡步驟: 作隱含表,尋找等價對 根據(jù)等價性質(zhì),構(gòu)成最大等價類 將最大等價類中的狀態(tài)合并,得到 最小化狀態(tài)表 2010華東師范大學計算機系21二、隱含表化簡法2化簡舉例 例7-1) 化簡P288 圖7-4狀態(tài)圖 作隱含表 填隱含表(圖7-5), 對所有狀態(tài)進行比較: 狀態(tài)等價,打“” 輸出不同,打“” 輸出相同,但次態(tài)不同,將次態(tài)填入, 以便進一

7、步判別 列出狀態(tài)表(表7-7)2010華東師范大學計算機系22例7-3)化簡原始狀態(tài)表 對所有狀態(tài)進行比較,找出等價狀態(tài)對BCDE A B C D BCAEAEBC CDBE,AC BCDC,ABDC不等價AD不等價AE、BC次態(tài)循環(huán) AE、BC等價CD不等價DE不等價 歸納等價狀態(tài)對為: (A,E)(B,C)2010華東師范大學計算機系23例7-1) 化簡圖7-4狀態(tài)圖 狀態(tài)合并,作 : 最小化狀態(tài)表(表7-9) 狀態(tài)圖(圖7-7) 歸納最大等價類: (A,E),(B,C),(D) S0 S1 S22010華東師范大學計算機系242化簡舉例例7-2) 化簡P290 表7-10狀態(tài)表 進一步比

8、較隱含表中尚未確定項 逐個比較,除去不等價狀態(tài)對 歸納等價狀態(tài)對為: (0)(1,2)(3,6)(4,7)(5,8) A B C D E 逐行比較原始狀態(tài)表填隱含表(圖7-8) 最小化狀態(tài)表 (表7-12)2010華東師范大學計算機系252化簡舉例例1)化簡如下原始狀態(tài)表: Yt Yt+1 / Z X=0X=1ABCDEFG C / 0F / 0D / 0D / 1C / 0D / 0C / 0 B / 1A / 1G / 0E / 0E / 1G / 0D / 0 2010華東師范大學計算機系26例1)化簡原始狀態(tài)表 Yt Yt+1 / Z X=0X=1ABCDEFG C / 0F / 0D

9、 / 0D / 1C / 0D / 0C / 1 B / 1A / 1G / 0E / 0E / 1G / 0D / 0 作隱含表,找出等價 狀態(tài)對BCDEFG A B C D E FCF BEAECFCDEDCF等價AB等價AE、BE次態(tài)循環(huán) CD不等價歸納等價狀態(tài)對為: (A,B) (A,E) (B,E) (C,F)2010華東師范大學計算機系27例1)化簡原始狀態(tài)表 歸納等價狀態(tài)對為: (A,B) (A,E) (B,E) (C,F) 構(gòu)成最大等價類 根據(jù)傳遞性: (A,B) (A,E) (B,E) (A,B,E) 最大等價類為: (A,B,E) (C,F) (D) (G) a b c d

10、2010華東師范大學計算機系28例1)化簡原始狀態(tài)表 構(gòu)成最小化狀態(tài)表: (A,B,E) (C,F) (D) (G) a b c dYt Yt+1 / Z X=0X=1ABCDEFG C / 0F / 0D / 0D / 1C / 0D / 0C / 1 B / 1A / 1G / 0E / 0E / 1G / 0D / 0 Yt Yt+1 / Z X=0X=1abcdb / 0c / 0c / 1b / 1a / 1d / 0a / 0c / 02010華東師范大學計算機系29 7 時序電路設(shè)計7.1 狀態(tài)等價7.2 狀態(tài)化簡7.3 不完全定義狀態(tài)表的狀態(tài)化簡2010華東師范大學計算機系30

11、 7.3 不完全定義狀態(tài)表的狀態(tài)化簡 特點: 狀態(tài)表中存在不完全確定的次態(tài)或輸出 不完全確定狀態(tài)表的化簡是建立在相容狀態(tài)基礎(chǔ)上的 2010華東師范大學計算機系31 7.3 不完全定義狀態(tài)表的狀態(tài)化簡一、狀態(tài)相容 1. 相容狀態(tài): 對于所有的有效輸入序列,分別從狀態(tài) SA和SB出發(fā),所得到的輸出響應(yīng)序列是 完全相同的(不確定狀態(tài)可任意指定), 那么狀態(tài)SA和SB是相容的,即SA和SB為相 容對,記作:SA,SB。2010華東師范大學計算機系32一、狀態(tài)相容 相容條件: (不確定狀態(tài)可任意指定) 輸出相同 次態(tài)滿足下列條件之一: 次態(tài)相同 次態(tài)交替 次態(tài)循環(huán) 2010華東師范大學計算機系33一、狀

12、態(tài)相容2. 相容類 狀態(tài)相容與狀態(tài)等價性質(zhì)一樣,具有相容類和最大相容類的性質(zhì) 不同點: 不具備傳遞性,即在相容類中所有 狀態(tài)之間都分別是兩兩相容的; 不具備傳遞性 若干個最大相容類可能包含公共 狀態(tài)2010華東師范大學計算機系34一、狀態(tài)相容3. 相容性質(zhì) 相容類集合必須滿足覆蓋、閉合和最小三個條件 覆蓋指相容類集合中包含了原狀態(tài) 表的全部狀態(tài) 閉合指在一個相容類集合中,任一 相容類在各種輸入取值下的次 態(tài)組合,都必須屬于該集合中 某一相容類 最小指滿足覆蓋和閉合的相容類數(shù) 目達到最少2010華東師范大學計算機系35一、狀態(tài)相容4. 化簡步驟: 作隱含表 尋找相容狀態(tài)對 利用狀態(tài)合并圖 尋找最

13、大相容類 作最小化狀態(tài)表 狀態(tài)合并圖 以點表示狀態(tài),均勻分布在圓周上 將各相容對用線段連接 找出所有點之間都有連線的多邊形 構(gòu)成一個最大相容類2010華東師范大學計算機系36 7.3 不完全定義狀態(tài)表的狀態(tài)化簡二、化簡舉例 例2)化簡狀態(tài)表 現(xiàn)態(tài)次態(tài) / 輸出00011011ABCDEC,0-,-D,0C,0B,0-,-E,0B,1A,1-,-A,0B,0-,-E,0A,0-,-D,1-,-,-E,1 作隱含表BCDE A B C DCDAECBABDEABDBCBAE 歸納相容狀態(tài)對:A,B A,C D,C2010華東師范大學計算機系37例2)化簡狀態(tài)表 作狀態(tài)合并圖 A,B A,C D,C

14、 ABCDE 最大相容類: A,B D,C E S0 S1 S22010華東師范大學計算機系38例2)現(xiàn)態(tài)次態(tài) / 輸出00011011ABCDEC,0-,-D,0C,0B,0-,-E,0B,1A,1-,-A,0B,0-,-E,0A,0-,-D,1-,-,-E,1 作最小化狀態(tài)表 A,B D,C E S0 S1 S2現(xiàn)態(tài)次態(tài) / 輸出00011011S0S1S2S1,0S1,0S0,0S2,0S0,1-,-S0,0S2,0S0,0S1,1-,-S2,12010華東師范大學計算機系39二、化簡舉例例7-3)化簡狀態(tài)表 現(xiàn)態(tài)次態(tài) / 輸出00011011ABCDEFG-,-A,0E,0-,-C,0

15、D,1E,1D,0C,0A,0-,-,-B,0-,-F,0-,-E,0C,0-,-,-A,0C,1D,1-,-G,1F,1E,1C,1 作隱含表BCDEFG A B C D E FCDADEFCFCGCFBDCEAFAEACDGACDF 相容狀態(tài)對:A,BC,DC,ED,EG,FCECEGFGEACCGDECE2010華東師范大學計算機系40例7-3)化簡狀態(tài)表 作狀態(tài)合并圖 A,B C,D C,E D,E G,F 最大相容類: A,BC,E,DF,G S0 S1 S2ABCDEFG 作最小化狀態(tài)表 (表7-19)2010華東師范大學計算機系41二、化簡舉例例3)化簡狀態(tài)表 現(xiàn)態(tài) 次態(tài) 輸出X

16、=0X=1ZABCDEFBBA-F-DDEE-C0-111- 作隱含表BCDEF A B C D E CDABDEDE 相容狀態(tài)對:A,BA,FB,CB,DB,E B,FC,DC,EC,FD,ED,FE,FCEAFCEBFCD2010華東師范大學計算機系42例7-3)化簡狀態(tài)表 作狀態(tài)合并圖 A,B A,F B,C B,D B,E B,F C,D C,E C,F D,E D,F E,F 最大相容類: B,C,D,E,FA,B,F a bABCDEF2010華東師范大學計算機系43例7-3)化簡狀態(tài)表現(xiàn)態(tài) 次態(tài) 輸出X=0X=1ZABCDEFBBA-F-DDEE-C0-111- 作最小化狀態(tài)表

17、A,B,F B,C,D,E,F a b現(xiàn)態(tài) 次態(tài) 輸出X=0X=1Zab-abb012010華東師范大學計算機系44 7 時序電路設(shè)計7.1 狀態(tài)等價7.2 狀態(tài)化簡7.3 不完全定義狀態(tài)表的狀態(tài)化簡7.4 狀態(tài)分配方法2010華東師范大學計算機系45 7.4 狀態(tài)分配方法一、狀態(tài)分配 為狀態(tài)分配唯一的二進制編碼 設(shè):二進制碼位數(shù)為M 狀態(tài)數(shù)為N 則:2M-1N2M二、狀態(tài)分配的合理性 狀態(tài)分配不會影響電路的邏輯功能, 但影響電路實現(xiàn)的復雜度 。 尋找較為合理的分配方案2010華東師范大學計算機系46 7.4 狀態(tài)分配方法三、狀態(tài)分配算法 1. 狀態(tài)分配規(guī)則 如下情況,應(yīng)分配相鄰的二進制代碼

18、規(guī)則1: 同一輸入值下,次態(tài)相同的現(xiàn)態(tài) 規(guī)則2: 同一狀態(tài)在相鄰輸入下的不同次態(tài) 規(guī)則3: 在所有輸入取值下均有相同輸出的 現(xiàn)態(tài)2010華東師范大學計算機系47三、狀態(tài)分配算法2. 狀態(tài)分配實例 例4)為如下狀態(tài)表建 立狀態(tài)轉(zhuǎn)移表Y Y+ / ZX=0X=1ABCDC / 0C / 0B / 0A / 1D / 0A / 0D / 0B / 1 確定狀態(tài)變量位數(shù) 22=4 取2位狀態(tài)變量 應(yīng)分配相鄰代碼的狀態(tài): 規(guī)則1: A,B A,C 規(guī)則2: C,D A,C、 B,D A,B 規(guī)則3: A,B,C2010華東師范大學計算機系48 A:00, B:10, C:01,D:11例4) 狀態(tài)分配

19、規(guī)則1:A,B、A,C 規(guī)則2:C,D、A,C、 B,D、A,B 規(guī)則3:A,B,CY Y+ / ZX=0X=1ABCDC / 0C / 0B / 0A / 1D / 0A / 0D / 0B / 11010Y2Y1ABCD 狀態(tài)轉(zhuǎn)移表Y2Y1 Y2+Y1+ / ZX=0X=10 00 11 11 0O1 / 010 / 000 / 101 / 011 / 011 / 010 / 100 / 02010華東師范大學計算機系492. 狀態(tài)分配實例例7-4)考察表7-24所示狀態(tài)表 應(yīng)分配相鄰代碼的狀態(tài): 規(guī)則1:A,C、 B,E、 A,E、 D,F 規(guī)則2:A,C、 C,D、 A,F、 B,E、

20、 D,E A,C B,E出現(xiàn)2次,優(yōu)先考慮 多種分配方案: 方案一:圖7-18 (a) 表7-25 方案二: (b) 方案三: (c) 2010華東師范大學計算機系50例7-4)考察表7-24所示狀態(tài)表 采用D觸發(fā)器實現(xiàn)各方案 P299 例,方案一: F3F2F1 D3 D2 D1X=0X=10 0 00 1 11 0 01 1 00 0 10 1 00 0 01 0 00 0 00 1 11 0 01 1 01 0 01 1 00 1 00 0 11 0 00 0 1 激勵表:卡諾圖化簡: 01 1 00 10 11 01 00F3F2F1X d d 1 10 d d 1 1 1 1 11

21、D3=F3F2X+F3F2X+F12010華東師范大學計算機系51例7-4)考察表7-24所示狀態(tài)表F3F2F1 D3 D2 D1X=0X=10 0 00 1 11 0 01 1 00 0 10 1 00 0 01 0 00 0 00 1 11 0 01 1 01 0 01 1 00 1 00 0 11 0 00 0 1 1 01 1 1 00 10 11 01 00F3F2F1X d d 10 d d 1 11 1 1 01 1 00 10 11 01 00F3F2F1X d d 10 d d 11 卡諾圖化簡:D2=F2F1X+F2F1X+F3F2XD1=F3F2+F2F1X2010華東師

22、范大學計算機系52例7-4)考察表7-24所示狀態(tài)表 狀態(tài)分配方案不同,實現(xiàn)成本略有不同 方案一:28門 方案二:27門 方案三:27門 不考慮狀態(tài)分配原則:35門 狀態(tài)分配規(guī)則不一定能產(chǎn)生最佳方案, 但能產(chǎn)生狀態(tài)分配的較好方案2010華東師范大學計算機系53 同步時序電路的設(shè)計: (1)根據(jù)需求 狀態(tài)圖、狀態(tài)表 (2)狀態(tài)分配 狀態(tài)轉(zhuǎn)移表 (3)狀態(tài)轉(zhuǎn)移表 激勵表 觸發(fā)器特征表 (4)卡諾圖化簡 激勵函數(shù)表達式 輸出函數(shù)表達式 (5)電路實現(xiàn)2010華東師范大學計算機系54同步時序電路設(shè)計的進一步優(yōu)化: (1)根據(jù)需求 狀態(tài)圖、狀態(tài)表 (2)狀態(tài)化簡最小化狀態(tài)表 (3)狀態(tài)分配 得狀態(tài)轉(zhuǎn)移表

23、 (4)狀態(tài)轉(zhuǎn)移表 激勵表 觸發(fā)器特征表 (5)卡諾圖化簡 激勵函數(shù)表達式 輸出函數(shù)表達式 (6)電路實現(xiàn)2010華東師范大學計算機系55 狀態(tài)圖 同步時序電路設(shè)計例5)試設(shè)計一個同步時序電路,接收串行二 進制代碼,輸入代碼每三位為一組,當 輸入的三位二進制代碼為011時,電路 輸出為“1”。ABCDEFG0/01/00/00/01/01/00/01/00/01/10/01/00/01/0初態(tài)0100011011X/Z2010華東師范大學計算機系56例5) 狀態(tài)表ABCDEFG0/01/00/00/01/01/00/01/00/01/10/01/00/01/0初態(tài)0100011011YY+ /

24、 ZX=0X=1ABCDEFGB, 0 C, 0D, 0 E, 0F, 0 G, 0A, 0 A, 0A, 0 A, 1A, 0 A, 0A, 0 A, 02010華東師范大學計算機系57例5) 最小化狀態(tài)表YY+ / ZX=0X=1ABCDEFGB, 0D, 0F, 0A, 0A, 0A, 0A, 0C, 0E, 0G, 0A, 0A, 1A, 0A, 0BCDEFGA B C D E F BDCEBFCGABACABACABACDFEGADAEADAEADAEAFAGAFAGAFAGXXXXXX 等價類: (D, F) (D, G) (G, F) (D, F, G)2010華東師范大學計算

25、機系58例5)最大等價類: (A) (B) (C) (D, F, G) (E) a b c d eYY+ / ZX=0X=1ABCDEFGB, 0D, 0F, 0A, 0A, 0A, 0A, 0C, 0E, 0G, 0A, 0A, 1A, 0A, 0YY+ / ZX=0X=1abcde最小化狀態(tài)表:b, 0 c, 0d, 0 e, 0d, 0 d, 0a, 0 a, 0a, 0 a, 12010華東師范大學計算機系59例5)狀態(tài)分配 22523 用三位二進制代碼表示一個狀態(tài) 1 010110100F3F2F1YY+ / ZX=0X=1abcdeb, 0d, 0d, 0a, 0a, 0c, 0e

26、, 0d, 0a, 0a, 1根據(jù): 規(guī)則1:b,c d,e應(yīng)分配相鄰編碼 規(guī)則2:b,c d,e應(yīng)分配相鄰編碼 a: 000 b: 001c: 011 d: 010e: 110abcde2010華東師范大學計算機系60例5)狀態(tài)轉(zhuǎn)移表: a: 000 b: 001 c: 011 d: 010 e: 110F3F2F1F3+F2+F1+ / ZX=0X=1000001011010110001, 0010, 0010, 0000, 0000, 0011, 0110, 0010, 0000, 0000, 1YY+ / ZX=0X=1abcdeb, 0d, 0d, 0a, 0a, 0c, 0e, 0

27、d, 0a, 0a, 12010華東師范大學計算機系61例5)激勵函數(shù): 激勵表: (D觸發(fā)器實現(xiàn)) F3F2F1D3 D2 D1 / ZX=0X=1000001011010110001, 0010, 0010, 0000, 0000, 0011, 0110, 0010, 0000, 0000, 1 d 01 d 00 10 11 01 00F3F2 d d 1 10 d d 1 1 1 1 11 F1X d 01 d 00 10 11 01 00F3F2 d d 10 d d 1 11 F1XD3=F2F1XD2=F2X+F12010華東師范大學計算機系62例5)F3F2F1D3 D2 D1

28、 / ZX=0X=1000001011010110001, 0010, 0010, 0000, 0000, 0011, 0110, 0010, 0000, 0000, 1 d 1 01 d 00 10 11 01 00F3F2 d d 10 d d 11 F1X d 01 d 1 00 10 11 01 00F3F2 d d 10 d d 1 11 F1XD1=F2F1Z=F3X2010華東師范大學計算機系63例5)邏輯圖: D3=F2F1X D2=F2X+F1 D1=F2F1 Z=F3X 3 Q D CP Q 2 Q D CP Q 1 Q D CP ZF3F2F1CLKX2010華東師范大學

29、計算機系64例5) 分析討論 根據(jù)激勵函數(shù)畫出包含所有 狀態(tài)的狀態(tài)圖0000010101000/00111/01101/00/01/10/00/01/10/01/11/10/01/01011110/0 修改輸出函數(shù):Z=F3F2F1XF3F2F1D3 D2 D1 / ZX=0X=1000001011010110001, 0010, 0010, 0000, 0000, 0011, 0110, 0010, 0000, 0000, 1D3=F2F1X D2=F2X+F1 D1=F2F1 Z=F3X0/01/02010華東師范大學計算機系65例5) 修改輸出函數(shù): Z=F3F2F1X 3 Q D CP

30、 Q 2 Q D CP Q 1 Q D CP ZF3F2F1CLKX2010華東師范大學計算機系66例5) 0000010111100101000/01/01/00/01/00/00/01/10/01/01/00/01/01011110/0狀態(tài)圖:0/01/02010華東師范大學計算機系67 7 時序電路設(shè)計7.1 狀態(tài)等價7.2 狀態(tài)化簡7.3 不完全定義狀態(tài)表的狀態(tài)化簡7.4 狀態(tài)分配方法7.5 算法狀態(tài)機2010華東師范大學計算機系68 7.5 算法狀態(tài)機 算法狀態(tài)機(ASM) 是一種描述時序系統(tǒng)控制過程的算法流圖,其結(jié)構(gòu)形式類似于計算機中的程序流程圖 特點: 可準確地描述控制器的功能和

31、狀態(tài)變化條件 描述控制器的控制狀態(tài)及其轉(zhuǎn)換關(guān)系 精確地表示狀態(tài)轉(zhuǎn)換的時間關(guān)系 描述符號:ASM流程圖2010華東師范大學計算機系697.5 算法狀態(tài)機一、ASM符號 1.主要符號 狀態(tài)符號表示系統(tǒng)狀態(tài) 圖724 OUT1OUT2A00狀態(tài)狀態(tài)進入狀態(tài)退出無條件輸出Moore型2010華東師范大學計算機系70一、ASM符號 1.主要符號 條件選擇符號 圖725 X判定標準入口01退出路徑(A+B)2010華東師范大學計算機系71一、ASM符號 1.主要符號 條件輸出符號 圖726 OUT3輸出變量mealy型AB0/OUT32010華東師范大學計算機系72一、ASM符號 2. ASM塊 由一個狀

32、態(tài)符號(條件選擇符號) (條件輸出符號)組成 圖727、圖728AO1BCXY/O2(XY)O1AXYO2CB100001102010華東師范大學計算機系73一、ASM符號3.構(gòu)造ASM圖 注意避免不確定性 圖7-30 轉(zhuǎn)換條件未確定 例:構(gòu)造如下狀態(tài)圖的ASM圖ABCXY/O1XYXY/O1XYABCXYO10110正確嗎?2010華東師范大學計算機系74例7-6ABCXY/O1XYXY/O1XYAXO10BC1Y102010華東師范大學計算機系75一、ASM符號 3.構(gòu)造ASM圖 例:圖7-33采用并行路徑描述 不確定描述 改串行路徑描述: 圖7-34 4. 簡單例子: 模4計數(shù)器的ASM

33、圖 圖7-37 模4可逆計數(shù)器ASM圖 圖7-38 選擇塊可由ASM塊共享: 圖7-35 ASM圖的循環(huán)描述: 圖7-362010華東師范大學計算機系767.5 算法狀態(tài)機二、ASM設(shè)計實例 例7-7)測量超聲波發(fā)生器發(fā)射端到目的地(障礙物) 的距離 1. 方法: 超聲波測量 計算出從發(fā)送(XMIT)到收到有效回 波信號(ECHO)的時間 圖7-40XMITECHOCENt2010華東師范大學計算機系77例7-7)測量超聲波發(fā)生器發(fā)射端到目的地的距離采用類似P260例6-2)“計時器”的方法,獲得計數(shù)值,送計算機處理。 計數(shù)時鐘取1MHz(1us) 計數(shù)最大值設(shè)為216 16位計數(shù)值送計算機處

34、理 控制時序: 圖7-41ECHOCENABSTBAINTRRD計數(shù)值送計算機接口電路向CPU發(fā)中斷請求在中斷處理程序中,CPU讀取接口的數(shù)據(jù)2010華東師范大學計算機系78例7-7)測量超聲波發(fā)生器發(fā)射端到目的地的距離2.設(shè)計框圖 XMITECHO 時序 電路定時器ERSTCENCRSTABSTB晶振1016位計數(shù)器16位并行口10MHz1MHz計算機定時信號(超時復位)。當出現(xiàn)故障,無ECHO信號返回時,利用該信號復位系統(tǒng)。 圖7-432010華東師范大學計算機系79例7-7)測量超聲波發(fā)生器發(fā)射端到目的地的距離3.時序電路模塊設(shè)計 (1)輸入信號:XMIT超聲波發(fā)送脈沖ECHO回波信號E

35、RST定時信號 輸出信號:CEN 計數(shù)使能 CRST 復位 ABSTB 數(shù)據(jù)鎖存2010華東師范大學計算機系80例7-7)測量超聲波發(fā)生器發(fā)射端到目的地的距離(2)ASM圖: 圖7-42 ABC初始態(tài)清零態(tài)就緒態(tài)XMITCEND10發(fā)送超聲波計數(shù)態(tài)ERST1超時,系統(tǒng)復位0ECHO0回波信號未到,繼續(xù)計數(shù)1回波信號到CRST2010華東師范大學計算機系81例7-7)測量超聲波發(fā)生器發(fā)射端到目的地的距離(2)ASM圖: 圖7-42 CENDERST0ECHO01回波信號到XMIT1發(fā)送信號仍有效,繼續(xù)計數(shù),避免寄生ECHO信號0E停止計數(shù)ECHO0ABSTB置數(shù)并返回等待回波信號結(jié)束F2010華

36、東師范大學計算機系82例7-7)測量超聲波發(fā)生器發(fā)射端到目的地的距離(3)狀態(tài)表: 表7-28(4)狀態(tài)化簡 隱含表化簡法: 圖7-44 無等價狀態(tài),表7-28即為最小化狀態(tài)表2010華東師范大學計算機系83例7-7)測量超聲波發(fā)生器發(fā)射端到目的地的距離(5)狀態(tài)分配 規(guī)則1:B,C4 D,F4 D,E C,D2 規(guī)則2:C,D A,D E E,F 規(guī)則3:A,C,E 利用卡諾圖分配 圖7-45(6)狀態(tài)轉(zhuǎn)移表 表7-29(7)激勵表(用J-K觸發(fā)器實現(xiàn)) 略2010華東師范大學計算機系84例7-7)測量超聲波發(fā)生器發(fā)射端到目的地的距離(8)卡諾圖化簡 激勵函數(shù)表達式 輸出函數(shù)表達式 分析表7

37、-29: J1K1: 現(xiàn)態(tài)011: XMIT F1 F1+ 0 1 1 1 1 0J1 K1 MEVd 0d 1 XMIT 圖7-46(b)K1卡諾圖:011小格填入XMIT2010華東師范大學計算機系85例7-7)測量超聲波發(fā)生器發(fā)射端到目的地的距離 J2K2: 現(xiàn)態(tài)010: ERST F2 F2+ J2 K2 MEV 0 1 1 d 0 1 1 0 d 1 ERST 圖7-46(d)K2卡諾圖:010小格填入ERST 現(xiàn)態(tài)110: ECHO F2 F2+ J2 K2 MEV 0 1 0 d 1 1 1 1 d 0 ECHO 圖7-46(d)K2卡諾圖:110小格填入ECHO2010華東師范

38、大學計算機系86例7-7)測量超聲波發(fā)生器發(fā)射端到目的地的距離 J3K3: 現(xiàn)態(tài)010: F3 F3+ J3 K3 XMIT ECHO ERST 0 1 1 d (XMIT ECHO ERST) 0 0 0 d 圖7-46(e) J3卡諾圖: 010小格填入XMIT ECHO ERST 化簡,得激勵函數(shù)和輸出函數(shù)表達式 P311 (9)電路圖 圖7-48 (10)時序圖 圖7-492010華東師范大學計算機系87 7 時序電路設(shè)計7.1 狀態(tài)等價7.2 狀態(tài)化簡7.3 不完全定義狀態(tài)表的狀態(tài)化簡7.4 狀態(tài)分配方法7.5 算法狀態(tài)機7.6 鏈接時序機2010華東師范大學計算機系88 7.6 鏈

39、接時序機 鏈接時序機: 一個電路的輸出成為另一個電路的輸入; 而另一個電路的輸出又成為第一個電路的 輸入,則該時序機稱為鏈接時序機。2010華東師范大學計算機系89 7.6 鏈接時序機 類型: 接口式將機器內(nèi)的某些狀態(tài)鏈接到 另一機器上,即為接口鏈接。 串行鏈接: 圖7-50 并行鏈接 圖7-51 交互式 解釋式 陣列式 軟件式2010華東師范大學計算機系90 7.6 鏈接時序機設(shè)計:1.任務(wù): 計算機模擬器設(shè)計 繪圖儀接口電路設(shè)計 實例: 例7-8)設(shè)計繪圖儀接口,并模擬計算機進 行調(diào)試。 2010華東師范大學計算機系91例7-8)設(shè)計繪圖儀接口,并模擬計算機進行調(diào)試。2.設(shè)計框圖 圖7-5

40、2 交互信號: 計算機 接口 CTH 送繪圖儀設(shè)備碼 RPYH 回答 DSH 接口數(shù)據(jù)選通 RPYH 回答計算機送往接口接口回答2010華東師范大學計算機系92例7-8)設(shè)計繪圖儀接口,并模擬計算機進行調(diào)試。 計算機 接口 TCH 接口緩存滿 TCRH CPU回答 INTH 中斷請求 CIH 中斷響應(yīng) 接口 繪圖儀 DOSH 繪圖儀數(shù)據(jù)選通 DRH 回答接口送往計算機計算機回答接口送往繪圖儀繪圖儀回答2010華東師范大學計算機系93例7-8)設(shè)計繪圖儀接口,并模擬計算機進行調(diào)試。 計算機模擬器與繪圖儀接口鏈接關(guān)系: (部分ASM圖) 圖7-57 工作波形: 圖7-53 設(shè)備碼譯碼電路圖7-54

41、2010華東師范大學計算機系94例7-8)設(shè)計繪圖儀接口,并模擬計算機進行調(diào)試。3.計算機模擬器設(shè)計 圖7-52 輸入信號: XFR 啟動(模擬中斷處理程序入口) INTH 中斷請求 RPYH 接口應(yīng)答 TCH 緩沖區(qū)滿(要求CPU停止送數(shù)) 輸出信號: CTH 設(shè)備號選通 DSH 數(shù)據(jù)選通 TCRH 回答 CIH 中斷響應(yīng)2010華東師范大學計算機系95例7-8)設(shè)計繪圖儀接口,并模擬計算機進行調(diào)試。 建立ASM圖 圖7-58 送設(shè)備號,選中接口工作 送數(shù)據(jù),直到緩沖區(qū)滿 停止送數(shù),等待中斷請求2010華東師范大學計算機系96例7-8)設(shè)計繪圖儀接口,并模擬計算機進行調(diào)試。 建立狀態(tài)表 狀態(tài)

42、化簡(本例無冗余狀態(tài)) 建立最小化狀態(tài)表YY+ XFR RPYH TCH INTH 0000000100100011010001010110011110001001101010111100110111101111ABCDEFGABDDFFAABDDFGGABEDEFAABEDEGGACCCFFAACCCFGGACCCEFAACCCEGGBBDDFFABBDDFGGBBEDEFABBEDEGGBCCCFFABCCCFGGBCCCEFABCCCEGG2010華東師范大學計算機系97例7-8)設(shè)計繪圖儀接口,并模擬計算機進行調(diào)試。 狀態(tài)分配 7個狀態(tài)需3位狀態(tài)變量:F3 F2 F1 方法一:分析狀態(tài)

43、表 規(guī)則1:A,G4 C,D4 E,F4 F,G8 C,E4 A,B4 B,C,D8 利用卡諾圖分配 圖7-602010華東師范大學計算機系98例7-8)設(shè)計繪圖儀接口,并模擬計算機進行調(diào)試。 狀態(tài)分配 方法二:分析ASM圖 圖7-58 規(guī)則1: AA(XFR=0) GA(INTH=0) A,G4 BB(RPYH=0) AB(XFR=1) A,B4 BC(RPYH=1) CC(RPYH=1 ) DC(RPYH=1 ) B,C,D82010華東師范大學計算機系99例7-8)設(shè)計繪圖儀接口,并模擬計算機進行調(diào)試。 CD(RPYH=0,TCH=0) DD(RPYH=0) C,D4 CE(RPYH=0

44、,TCH=1) EE(TCH=1) C,E4 EF(TCH=0) FF(INTH=0 ) E,F4 FG(INTH=1) GG(INTH=1) F,G8 利用卡諾圖分配 圖7-602010華東師范大學計算機系100例7-8)設(shè)計繪圖儀接口,并模擬計算機進行調(diào)試。 建立狀態(tài)轉(zhuǎn)移表YY+ XFR RPYH TCH INTH 0000000100100011010001010110011110001001101010111100110111101111000001011010111101100000001010010101101000000001010010101100100000001111010

45、111101000000001111010111100100000011011011101101000000011011011101100100000011011011111101000000011011011111100100001001010010101101000001001010010101100100001001111010111101000001001111010111100100001011011011101101000001011011011101100100001011011011111101000001011011011111100100 J KQt Qt+1 0 d 1 d d 1 d 0 0 0 0 1 1 0 1 1J3K3: 現(xiàn)態(tài)011: RPYH TCH F3 F3+ J3 K3 MEV 0 0 0 0 d 1 0 1 1 d RPYH TCH 圖7-61 J3卡諾圖: 011小格填入RPYH

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論