實時FIR濾波器的實現(xiàn)_第1頁
實時FIR濾波器的實現(xiàn)_第2頁
實時FIR濾波器的實現(xiàn)_第3頁
實時FIR濾波器的實現(xiàn)_第4頁
實時FIR濾波器的實現(xiàn)_第5頁
已閱讀5頁,還剩22頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、贛南師院物理與電子信息學(xué)院課程設(shè)計報告姓名: 陳如意 鄒麗蓉 賀玲玲班級:09電信本學(xué)號:090802003090802071090802053時間:2012年4月25日設(shè)計題目基于DSP的實時FIR濾波器的實現(xiàn)設(shè)計要求熟悉AD50/McBSP的初始化設(shè)置及其使用方法,以及實時R濾波器的實現(xiàn)了解DSP/BIOS程序設(shè)計。熟悉DSPLIB中FIR函數(shù)的調(diào)用及參數(shù)設(shè)置。掌握FIR濾波器的原理與設(shè)計方法;學(xué)會在CCS平臺編寫C程序?qū)崿F(xiàn)FIR濾波。設(shè)計過程1.設(shè)計原理1.1 FIR濾波器設(shè)計原理在數(shù)字信號處理應(yīng)用中往往需要設(shè)計線性相位的濾波器,F(xiàn)IR濾波器在保 證幅度特性滿足技術(shù)要求的同時,很容易做到

2、嚴格的線性相位特性。FIR濾波 器不斷地對輸入樣本x(n)延時后,再作乘法累加算法,將濾波結(jié)果y(n) 輸出,因此,F(xiàn)IR實際上是一種累加運算。在數(shù)字濾波器中,F(xiàn)IR濾波器的最主要的特點是沒有反饋回路,故不存在 不穩(wěn)定的為題,同時,可以在幅度特性隨意設(shè)置的同時,保證精確的線性相位。 穩(wěn)定和線性相位特性是FIR濾波器的突出優(yōu)點。另外,它還有以下特點:設(shè)計 方式是線性的;硬件容易實現(xiàn);濾波器過渡過程具有有限區(qū)間;相對IIR濾波 器而言,階次較高,其延遲也要比同樣性能的IIR濾波器大得多。FIR數(shù)字濾波器系統(tǒng)的傳遞函數(shù)為:H ( z )=里=習(xí)頃z - n XCo通過反z變換,數(shù)字濾波器的差分方程為

3、:y (n)=祝 h x(n - k)k =0由上式可以得出如下圖所示的直接型結(jié)構(gòu),這種結(jié)構(gòu)又可以成為卷積型結(jié) 構(gòu)。將轉(zhuǎn)置理論應(yīng)用于圖1.1可以得到轉(zhuǎn)置直接型結(jié)構(gòu)。將式中的系統(tǒng)函數(shù)H(z)分解成若干一階和二階多項式的連乘積:H (z) = H H ik (z )EI H 2 k (z) k=1k=1則由此式可以構(gòu)成如圖1.2所示的級聯(lián)型結(jié)構(gòu)。其中H 1k(z) = O0D +%)z-1為一 階節(jié),H2k (z) = a02 + ak) + a2k為二階節(jié)。每個一階節(jié)、二階節(jié)可用圖1.2級 聯(lián)型結(jié)構(gòu)實現(xiàn)。當M1=M2時,即得到圖1.3所示的具體結(jié)構(gòu)。這種結(jié)構(gòu)的每 一節(jié)都便于控制零點,在需要控制傳

4、輸零點時可以采用。但是它所需要的系數(shù) a比直接型的h(n)多。在對濾波器計算時間沒有特殊要求的時候可以采用這 種形式。若需要嚴格考慮濾波器的計算時間則需要同時考慮它們的優(yōu)點及缺點 來設(shè)計。這在算法設(shè)計的時候要使用軟件編輯環(huán)境來計算運行的時間問題。通 常FIR的計算時間都較長。很多時候我們需要犧牲時間來獲得想要得到的濾波區(qū)功能。圖1.1 FIR濾波器直接型結(jié)構(gòu)圖H H/r)e 為卜-4 取0)h w t - 圖1.2級聯(lián)型結(jié)構(gòu)圖,j)村腴h 卜 q廣|圖1.3級聯(lián)型具體結(jié)構(gòu)FIR濾波器實質(zhì)上就是一個分節(jié)的延遲線,把每一節(jié)的輸出用濾波器系數(shù) 進行加權(quán)累加,便得到濾波器的輸出結(jié)果,它總是穩(wěn)定并且可實

5、現(xiàn)的。在一些 工程實際應(yīng)用(如:圖像處理、數(shù)據(jù)調(diào)制解調(diào))中,往往對相位要求較高。FIR 濾波器可以實現(xiàn)嚴格的線性相位,從而得到了廣泛應(yīng)用。它的差分方程數(shù)學(xué)表達式為:y(n) = N 1 b x(n - k)k=0式中,N是FIR濾波器的抽頭系數(shù),x(n)表示在n時刻輸入的信號樣 值,h(n)表示濾波器的第n級抽頭系數(shù)。橫截型FIR濾波器的結(jié)構(gòu)如圖1.4 所示:X(n)z-1z -1z -1ifUi fifh(0)h(1)h(2)h(N-2)h(N-1)y(n),勉圖1.4 FIR濾波器的橫截型結(jié)構(gòu)2設(shè)計方案2.1方案一:窗函數(shù)法窗函數(shù)法也稱為傅里葉級數(shù)法。理想的數(shù)字濾波器頻率特性H(eJW)是

6、無 法實現(xiàn)的,F(xiàn)IR的設(shè)計就是要尋找一個可以得到的頻率特性H(ejw) = tlh(n)e-網(wǎng)來逼近H(ejw),這相當于用一個可實現(xiàn)的單位脈沖響應(yīng) n=0h (n)去逼近一個理想單位脈沖響應(yīng)氣(n)。七(n)可由理想頻率特性H(ejw)通過傅氏反變換得到,h (n) = J H (ejw)d。一般來說,這樣得到的理想單 d2兀d兀位脈沖響應(yīng)序列七(n)是個無限長序列,因而是非因果的。設(shè)有一個截止頻率 為的理想線性相位低通,延時為,其頻率特性是:H ew)=ej%0 小 Hd I 0 兀tC得到:h (n)=,低(n-T sd兀(n-t )這是一個以n=t為中心偶對稱的無限長非因果序列,要想用

7、一個有限長 的因果序列去逼近它,最簡單的方法是截取從0到N-1的一段來表示它,及 h(n) = hd (n) (0 n N -1);其他 N: h(n) = 0。同時,為了保證線性相位,還要滿足偶對稱h(n) = h(N 1 n)。這就像透過一個窗口觀看到的一段氣(n),因此h(n)就表示成七(n)和一個窗口函數(shù)的 乘積,這樣對h(n)的求解就變?yōu)閔(n)=氣(n)*%,這里的W就被稱為窗函數(shù), 既然一個頻域上的標準的矩形窗口對應(yīng)于時域是一個無限長的序列,那么在時 域上截取一段必然會造成頻域的矩形窗口的失真。相應(yīng)的,截取出的信號也相 應(yīng)失真,從而需要改變原來窗口的形狀來修正經(jīng)過時域截取后的窗口

8、失真。2.2方案二:頻率抽樣法窗函數(shù)法是從時域出發(fā),用窗函數(shù)截取理想的h (n)得到h(n),以此有限 d長的h(n)近似氣(n),這樣得到的頻率響應(yīng) H(ejw)逼近于理想的頻率響應(yīng)間隔抽樣% (ejw)% (ejw)。頻率抽樣法是從頻率出發(fā),將給定的理想頻率響應(yīng)% (ejw)加以等=Hd (k)皿gN然后以此H (k )作為FIR濾波器的頻率響應(yīng)抽樣值H (k ),再根據(jù)DFT (離散傅 d氏變換)定義由頻域這N個抽樣值來唯一定義一個有限長序列h(n),同樣也可以算出FIR濾波器的系統(tǒng)抽樣函數(shù)H(z)及頻率響應(yīng)H(ejw),可以推出頻率響應(yīng)H(ejw)是頻率抽樣值H(k)與線性相位因子e-

9、j低n一 1)/2及如下內(nèi)插函數(shù)S(o ,k)的線一 sin 竺性組合。S(,k)=篇e-jN 一 2N , 冗k、sin(: 丁)2 N所以,在各頻率取樣點上,實際濾波器的頻率響應(yīng)是嚴格地和所要求的濾波器的頻 率響應(yīng)一致的,逼近誤差為零,但在抽樣點之間的頻率響應(yīng)是各取樣點的內(nèi)插函數(shù)的延 伸疊加而成,有一定的逼近誤差,誤差大小取決于頻率響應(yīng)曲線的圓滑程度和抽樣點的 密度。為了減少誤差就要增加抽樣點數(shù)目即增大采樣頻率,抽樣點之間的理想頻率特性 變化越陡,則逼近誤差越大,在理想頻率特性的不連續(xù)點附近會產(chǎn)生肩峰和紋波。頻率 抽樣法的優(yōu)點是可以在頻域設(shè)計,適于利用最優(yōu)化方法,而且這種方法特別適用于窄帶

10、 選頻濾波器,但頻率抽樣法的抽樣頻率只能是2n /N的整數(shù)倍或2n /N的整數(shù)倍加上n /N。不能保證截止頻率。的準確取值,要實現(xiàn)精確的就必須取N足夠大,相應(yīng)的計 算量也很大。此外,它的阻帶最大衰減一般,也只有30-50dB左右,很難滿足頻域特性 要求較高的場合。2.3方案三:Chebyshev逼近法窗函數(shù)法和頻率采樣法設(shè)計的濾波器的頻率特性都是在不同意義上對所 給理想頻率特性氣(ejw)的逼近。由數(shù)值逼近理論可知,對某個函數(shù)f(x)的 逼近一般有以下三種方法:插值法(Interpolating Way)最小平方逼近法(Least Square Approaching Way)一致逼近法(C

11、onsistent Approaching Way)切比雪夫最佳一致逼近的基本思想是,對于給定區(qū)間a,b上的連續(xù)函數(shù) f(x),在所有n次多項式的集合中,尋找一個多項式p(x),使它在a,b上對 f(x)的偏差和其它一切屬于平的多項式p(xMWf(x)的偏差相比是最小的,即: nmax| p( x) - f (x) = minmax(p( x) - f (x)切比雪夫逼近理論,解決了 p (x)的存在性、唯一性和如何構(gòu)造等問題。但 切比雪夫逼近法的效率初始極值頻率點的估計,且通帶和阻帶內(nèi)波紋數(shù)較多, 這是Chebyshev方法的兩個主要缺點。FIR窗函數(shù)法的基本步驟:把氣(ejw)經(jīng)傅里葉變換

12、,得hd (n);對匕(n)自然截短到所需的長度;將截短后的hd (n)右移M個采樣間隔,得h(n);將h(n)乘以合適的窗函數(shù)得到所要濾波器的沖擊響應(yīng),窗函數(shù)以n=M對 稱。利用所求得的單位抽樣響應(yīng),即可用硬件構(gòu)成濾波器的轉(zhuǎn)移函數(shù)H(z), 也可利用h(n)在計算機上用軟件實現(xiàn)濾波。3.2典型窗函數(shù)介紹數(shù)據(jù)窗在FIR濾波器的窗函數(shù)設(shè)計中起著重要的作用,它的性能的好壞直 接影響著濾波器的過渡帶寬和衰減的大小。對窗函數(shù)總的要求,是希望他的頻 譜中的主瓣盡量窄,旁瓣幅度盡量小,尤其是第一旁瓣。此外,窗函數(shù)還應(yīng)該 滿足下列要求以便可以定量地比較各函數(shù)的性能。W(n)應(yīng)是非負的實偶函 數(shù),為了使濾波器

13、獲得較大的主旁瓣能量化,從對稱中心開始w(n)應(yīng)是非1四遞增的;為了保證濾波器的通帶增益為1,應(yīng)有:(0) = 土 j W(eje虬=1-兀為了保證濾波器的相位特性不因加窗而改變,一般要求W(ejw)是恒正的; 這里給出如下三個頻域指標作為窗函數(shù)性能的性能參數(shù):3dB帶寬B,它是主瓣歸一化幅度(20log覽J)下降到-3dB時的、 、,, 一, ,一、,、2兀,、,帶寬。當數(shù)據(jù)長度為N時,最大可能的頻率分辨率是=竺,則B的單位N可以是宜;最大旁瓣峰值A(chǔ)(dB)。A越小,由旁瓣引起的振蕩幅度越??;旁瓣峰值漸進衰減速度D(dB/oct);矩形窗(Rectangle Window)由于矩形窗時講理想

14、的通帶所對應(yīng)的時域部分,無限長截斷到有限長。 (n) = 1,n=0,1,N-1它的波形及其幅頻響應(yīng)曲線如3.1示:Time domain5Eples 15208 6 4 2 0 o o o OeauT-DmANormalized Frequency (x兀 rad/sample)圖3.1矩形窗波形及其幅頻響應(yīng)曲線(N=21)它的窗口形式Wn = 1*礎(chǔ),這樣在轉(zhuǎn)換成頻域是,理想的矩形通帶會產(chǎn)生畸變,畸 變情況如下圖3.3所示40200-20-40-60-80Frequency domain0.20.40.60.8Normalized Frequency (x兀 rad/sample)10.8

15、Time domain0.25Eples 15206 4 o o pduMDmA圖3.3經(jīng)矩形窗截短后的畸變由上圖可見,原本十分理想的矩形窗的傳遞函數(shù)現(xiàn)在變成了目前的形狀。三角窗(Triangular)它的波形及其幅頻響應(yīng)曲線如3.4所示:-1000圖3.4三角窗的波形及其幅頻響應(yīng)曲線(N=21)它的窗的形式為:w (n) = 1 n = -?,.-1,0,1,., J2.,2 .、幅頻特性為(ej) = Ne _ j ( n sin( N /4)sin( / 2)3.2.4 漢寧窗(Hanning Window)10.8Time domain0.205Eples 15206 4 o o pd

16、uMDmANormalized Frequency Q兀 rad/sample)Da(pduTnQa它的波形及其幅頻響應(yīng)曲線如圖3.5所示:圖3.5漢寧窗的波形及其幅頻響應(yīng)曲線(N=21)由得到的頻譜圖,可以發(fā)現(xiàn)旁瓣大大的降低了,從而使能量集中在主瓣中, 但是主瓣的寬度增大了一倍。 1Rn (n)它的窗的形式是:(n) = -1-cos2利用傅氏變換的調(diào)制特性(ejdnx(n) o X(ej(-/),可以得到矩形窗的幅頻特性WR()表示的升余弦窗的幅頻特性:W() = 0.5W ()+ 0.25 Wr -3.2.5 海明窗(Hamming Window)若對海明窗再做一些調(diào)整就可海明窗(ham

17、ming)也稱為改進升余弦窗 以得到使旁瓣最小的窗函數(shù):(n)=0.54 - 0.46cosRn (n)同時它的幅頻特性為:W() = 0.54W () + 0.23 W (+ Wr +它的波形及其幅頻響應(yīng)曲線如3.6所示:Normalized Frequency S rad/sample)圖3.6海明窗的波形及其幅頻響應(yīng)曲線(N=21)這將使99.96%的能量集中在主瓣內(nèi)。這樣,在與升余弦窗相等的主瓣寬度下 獲得了更好的旁瓣抑制效果。3.2.6 布萊克曼窗(Blackman Window)它的窗的形式為:(n)=(2m )(4冗n )0.42 - 0.5cos+ 0.08 cos1N -1N

18、 -1)_Rn (n)幅頻響應(yīng)為:U(2兀)+ U3 +2兀、1+ 0.04U (3)+ U(4兀)3 + 一1 N J1N Jk N ;W ()=0.42U ()+ 0.23它的波形及其幅頻響應(yīng)曲線如下圖3.7所示:/i/Time domain5Eples 15208 6 4 2 o o o O eauT-DmANormalized Frequency (x兀 rad/sample)圖3.7布萊克曼窗的波形及其幅頻響應(yīng)取下(N=21)比較各中窗函數(shù)可知,矩形窗函數(shù)具有最窄的主瓣B,但也有最大的旁瓣 峰值A(chǔ)和最慢的衰減速度D。漢寧窗的主瓣寬度稍寬,但有著較小的旁瓣和較 大的衰減速度,因而是窗函

19、數(shù)設(shè)計法中比較好的選擇。將上述各種窗的指標列 于下表3.1中名稱近似過渡帶寬精確過渡帶寬最小阻帶衰減矩形4n /N1.8n /N21dB三角8n /N6.4n /N25 dB漢寧8n /N6.2n /N44 dB海明8n /N6.6n /N51 dB布萊克曼12/N11n /N74 dBDSP中的McBsp接口電路基本介紹McBSP 通過 7 個引腳(DX、DR、CLKX、CLKR、FSX、FSR 和 CLKS)與外設(shè)接 口。DX和DR引腳完成與外部設(shè)備進行通信時數(shù)據(jù)的發(fā)送和接收,由CLKX、CLKR、 FSX、FSR實現(xiàn)時鐘和幀同步的控制。由CLKS來提供系統(tǒng)時鐘。發(fā)送數(shù)據(jù)時, CPU和DM

20、A控制器將要發(fā)送的數(shù)據(jù)寫到數(shù)據(jù)發(fā)送寄存器DXR,在FSX和CLKX 作用下,由DX引腳輸出。接收數(shù)據(jù)時,來自DR引腳的數(shù)據(jù)在FSR和CLKR作 用下,從數(shù)據(jù)接收寄存器DRR中讀出數(shù)據(jù)。接收和發(fā)送幀同步脈沖即可以由內(nèi) 部采樣速率產(chǎn)生器產(chǎn)生,也可以由外部脈沖源驅(qū)動,McBSP分別在相應(yīng)時鐘的 上升沿和下降沿進行數(shù)據(jù)檢測。串行口的操作由串行口控制寄存器2SPCR和引腳控制寄存器PCR來決定; 接收控制寄存器RCR和發(fā)送控制寄存器XCR分別設(shè)置接收和發(fā)送的各種參數(shù), 如幀長度等。AD50內(nèi)部結(jié)構(gòu)介紹AD50是TI公司生產(chǎn)的一個16位、音頻范圍(采樣頻率為2K22.05KHZ)、內(nèi)含抗混疊濾波器和重構(gòu)濾

21、波器的模擬接口芯片,它有一個能與 許多DSP芯片相連的同步串行通信接口。AD50C片內(nèi)還包括一個定時器(調(diào) 整采樣率和幀同步延時)和控制器(調(diào)整編程放大增益,鎖相環(huán)PLL,主從模 式)。AD50有28腳的塑料SOP封裝(帶DW后綴)和48腳的塑料扁平封裝 (帶PT后綴),體積較小,適應(yīng)于便攜設(shè)備。AD50的工作溫度范圍是070C, 單一 5V電源供電或5V和3.3V聯(lián)合供電,工作時的最大功耗為120 mW。AD50的內(nèi)部結(jié)構(gòu)簡圖如下:最上面第一通道為模擬信號輸入監(jiān)控通道, 第二通道為模擬信號轉(zhuǎn)化為數(shù)字信號(A/D)通道,第三通道為數(shù)字信號轉(zhuǎn)化 為模擬信號(D/A)通道,最下面一路是AD50的工

22、作頻率和采樣頻率控制通 道。圖1.4 AD50的內(nèi)部結(jié)構(gòu)簡圖3.3.2.1 AD50內(nèi)部寄存器及其作用AD50內(nèi)部有7個數(shù)據(jù)和控制寄存器,用于編程控制它們的工作狀態(tài)。寄存器0:空操作寄存器。寄存器1:軟件復(fù)位軟件掉電選擇16位或15位工作方式硬件或軟件二次通信請求方式的選擇寄存器2:使能ALTDATA輸入端為ADC選擇16/15位方式寄存器3:選擇FS與FSD之間延遲SCLK的個數(shù)告訴主機有幾個從機被聯(lián)上寄存器4:為輸入和輸出放大器選擇放大器增益選擇N來設(shè)置采樣頻率,fs=MCLK/ (128*N)或MCLK/(512*N) 在MCLK輸入端使能外部時鐘輸入,并旁通內(nèi)部的PLL 寄存器5, 6

23、:保留AD50與DSP的接口電路圖1系統(tǒng)硬件接口音擬號入 SSAD50與TMS320VC5402是以SPI方式連接的。AD50工作在主機模 (M/S=1),提供SCLK (數(shù)據(jù)移位時鐘)和FS (幀同步脈沖)。TMS320VC5402 工作于SPI方式的從機模式,BCLKX1和BFSX1為輸入引腳,在接數(shù)據(jù)和發(fā) 數(shù)據(jù)時都是利用外界時鐘和移位脈沖。3.3.2.3 AD50的通訊方式AD50有兩個通信方式一種是15+1方式軟件申請第二串行通信的;另一種 是用FC來切換通信方式。軟件不太可靠而且15位精度小,對于音頻數(shù)據(jù)處理 麻煩。故我們采用拉高FC以達到切換通信方式。普通AD/DA語音模塊控制及原

24、理圖3.4 MCBSP初始化步驟:設(shè) SPCR (12)中 XRST=RRST=FRST=0,使 MCBSP 口處于復(fù)位狀態(tài);設(shè)置各個相關(guān)寄存器對應(yīng)位;等待兩個數(shù)據(jù)周期,確保MCBSP內(nèi)部同步;向發(fā)送數(shù)據(jù)寄存器DXR寫入數(shù)據(jù);設(shè) SPCR (12)中 XRST=RRST=FRST=1,使 MCBSP 口離開復(fù)位狀態(tài);信號處度飭率放為(6)等待兩個數(shù)據(jù)周期,使MCBSP收發(fā)器啟動。3.5軟件設(shè)計3.5.1程序如下#include math.h#include stdlib.h#define pi 3.14159#include 5410reg.h#include stdio.h#define f

25、c_clock port2000#define LowPass 0#define HighPass 1#define BandPass 2 ioport unsigned fc_clock;ioport unsigned temp;/McBSP2初始化表const unsigned short init_tblx2=0,0 x0000,1,0 x0200,上兩目使McBSP1處于復(fù)位狀態(tài)2,0 x0040,3,0 x0000,4,0 x0040,5,0 x0000,6,0 x0101,7,0 x2000,8,0 x0000,9,0 x0000,10,0 x0000,11,0 x0000,12,

26、0 x0000,13,0 x0000,14,0 x000c,卜兩目使McBSP開始工作0,0 x0001,1,0 x0043;short *init_tbl=(short*)init_tblx;int mtmp;int Type;void Delay()int temp,ft;for(ft=0;ft100;ft+)for (temp=0;temp1000;temp+);void ShortDelay()int tmp;for(tmp=0;tmp100;tmp+);void MainDelay(unsigned int count)int tmp;for(tmp=0;tmpcount;tmp+)

27、;void initMCBSP() int i;for(i=0;in */float WC;int n;int m;int l;float im,re;float a,b,p,wf,d;int k,i;/*/ main() for(m=1; m6; m+)/*參數(shù)初始化*/ for(i=0;i57;i+)HDi=0;Hi=0;Wi=0;/ Xi=0;for(i=0;i300;i+)DBi=0;n=21;/* n=57,為奇數(shù) */WC=0.2; /* wc=0.10-0.90 */ l=300;a=(n-1)/2;for(i=0;in;i+) /*理想矩形函數(shù)(頻域)的時域形式*/ if(i=

28、a)HDi=WC;elseb=i-a;HDi=sin(pi*b*WC)/(pi*b);switch(m) /*根據(jù)m的值選擇不同的窗函數(shù)*/ /* m=1時用矩形窗*/case 1:for(i=0;in;i+) Wi=1.0;break;/* m=2時用三角窗(巴特萊特窗)*/ case 2:for(i=0;i=a)Wi=2.0-2.0*i/(n-1);elseWi=2.0*i/(n-1); break;/* m=3時用漢寧窗*/case 3:for(i=0;in;i+)Wi=0.5*(1.0-cos(2.0*pi*i/(n-1);break;/* m=4時用漢明窗*/case 4:for(i

29、=0;in;i+)Wi=0.54-0.46*cos(2.0*pi*(float)i/(n-1); break;/* m=5時用布萊克曼窗*/case 5:for(i=0;in;i+)Wi=0.42-0.5*cos(2.0*pi*i/(n-1)+0.08*cos(4.0*pi*i/(n-1); break;for(i=0;in;i+)Hi=HDi*Wi; /*對理想沖擊響應(yīng)加窗(時域相乘)*/p=pi/l;/*對加窗后的響應(yīng)序列進行傅里葉變換,觀察具幅頻響應(yīng)*/for(k=0;k=l-1;k+)wf=(pi*k)/l;re=0.0;im=0.0;for(i=0;in;i+)re=re+Hi*co

30、s(float)i*wf);im=im+Hi*sin(float)i*wf);d=sqrt(pow(re,2)+pow(im,2); /*求模(幅度)*/DBk=20.0*log10(d);/*轉(zhuǎn)換為對數(shù)表示形式*/*對心電圖進行濾波*/for(i=0;i57;i+)Yi=0;for(k=0;(k21&k!*-rJinraRe540-01Bnnlcpa 1 nt KcMgHr:praba peLntv ara ant at valid jinan-xlW鄧1-SiGI 心相 Tb5* pIDOiM gL口E TO g I.41T *4114 I .皿 i Dt-5m peIm? points

31、/stv let flt 叫Lid Hdi?:-Ccd Canpoanr 勤占thai* pret-a psmu: to eKh1 i.d. LinrB-18 b I ft BJFX i1Faf shFlUJJ 奪t_. JU j二、二,.: ”ig. .M=1,矩形窗時濾波后心電圖如下:M=2,三角窗時濾波后心電圖如下:WxHtrji&J IJd CowpLcw ErTDFXP Wmm.L O RznarlcE-M=3,漢寧窗時濾波后心電圖如下:UJu !_ L-fi . t r*T F. JJ |四 A 的 E 普B: t*v; g E 呼*: gk * 占3 A- o 口 EH(3 日畫

32、擔M=4,漢明窗時濾波后心電圖如下:色 X 的 E 村:g fipw E*皿fjL1?la. I b b h E加A.Mmm fil4 CoipLrWn Q ErTDE-a:P .jtriiirfTEFrnJjrOfdE8 LUfcWe- J Sam =*xiBffVEDUy4QQgiaDLbLnySa口、-f Iwfai. LkfLftiAihhM.M=5,布萊克曼窗時濾波后心電圖如下:imposer囚 File Edit View Project Debug Profiler GEL Option Tools DSP/BIOS Window HelpFIR. pjt愿1 |陽T |Pebu回座國國叵f FilesE-O GEL filesProjects白宙 FIR.pjt (Debug): |_| Dependent Projed? LJ DSP/BIOS Config: 口 Generated Files: |_J IndudeLibraries白-包 Sourcej j

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論