電子課程設(shè)計(jì)論文-多功能數(shù)字頻率計(jì)的設(shè)計(jì)_第1頁(yè)
電子課程設(shè)計(jì)論文-多功能數(shù)字頻率計(jì)的設(shè)計(jì)_第2頁(yè)
電子課程設(shè)計(jì)論文-多功能數(shù)字頻率計(jì)的設(shè)計(jì)_第3頁(yè)
電子課程設(shè)計(jì)論文-多功能數(shù)字頻率計(jì)的設(shè)計(jì)_第4頁(yè)
電子課程設(shè)計(jì)論文-多功能數(shù)字頻率計(jì)的設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩25頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、任務(wù)書(shū) 設(shè)計(jì)題目中文:多功能數(shù)字頻率計(jì)的設(shè)計(jì)英文:Design of Multi-function Digital Frequency Meter 設(shè)計(jì)功能要求1、能正確顯示輸入信號(hào)頻率;2、測(cè)量頻率范圍為1Hz 999999Hz;3、測(cè)量結(jié)果以十進(jìn)制數(shù)字顯示;4、能測(cè)量幅值較小的信號(hào)頻率;5、有自動(dòng)刷新輸出數(shù)據(jù)的功能(如5s刷新一次);6、有自檢模塊(如產(chǎn)生100Hz的校準(zhǔn)方波);7、增加其他拓展模塊(如增加脈搏傳感器使之具有脈搏計(jì)數(shù)功能)。 設(shè)計(jì)任務(wù)內(nèi)容1、學(xué)習(xí)與研究相關(guān)的電子技術(shù)理論知識(shí),查閱資料,拿出可行的設(shè)計(jì)方案;2、根據(jù)設(shè)計(jì)方案進(jìn)行電路設(shè)計(jì),完成電路參數(shù)計(jì)算、元器件選型、繪制電路原

2、理圖;3、進(jìn)行電路軟件仿真(如:Multisim10、Protues7.5、Protel99SE等),或制作實(shí)物進(jìn)行調(diào)試實(shí)驗(yàn),獲得實(shí)驗(yàn)數(shù)據(jù),驗(yàn)證設(shè)計(jì)有效性。4、撰寫(xiě)課程設(shè)計(jì)報(bào)告。簽名 多功能數(shù)字頻率計(jì)的設(shè)計(jì)摘 要為了提高運(yùn)用電子技術(shù)基本知識(shí)進(jìn)行理論設(shè)計(jì)、實(shí)踐創(chuàng)新的能力,培養(yǎng)獨(dú)立工作、團(tuán)隊(duì)合作的意識(shí),學(xué)會(huì)閱讀相關(guān)科技文獻(xiàn),查找器件手冊(cè)與相關(guān)參數(shù),整理總結(jié)設(shè)計(jì)報(bào)告,并學(xué)習(xí)計(jì)算機(jī)輔助設(shè)計(jì)EDA軟件Protel99 SE和Multisim 10的使用,通過(guò)設(shè)計(jì)一個(gè)數(shù)字頻率計(jì),學(xué)會(huì)合理的利用電子器件完成基于模擬電路和數(shù)字電路的課程設(shè)計(jì)與制作。本次設(shè)計(jì)的多功能數(shù)字頻率計(jì)是一種用十進(jìn)制數(shù)字顯示被測(cè)信號(hào)頻率的

3、數(shù)字測(cè)量?jī)x器。它的基本功能是測(cè)量正弦信號(hào)、方波信號(hào)、三角波信號(hào)以及其他各種單位時(shí)間內(nèi)變化的物理量的頻率。本設(shè)計(jì)中使用的是直接測(cè)頻法,即利用計(jì)數(shù)器直接測(cè)量1S內(nèi)輸入信號(hào)周期的個(gè)數(shù)并通過(guò)延時(shí)電路使其在數(shù)碼管上顯示一定的時(shí)間。本設(shè)計(jì)共分八個(gè)單元模塊:被測(cè)信號(hào)通過(guò)放大模塊和整形模塊整形為幅值較大的方波信號(hào)并送入計(jì)數(shù)模塊,同時(shí)秒信號(hào)產(chǎn)生模塊產(chǎn)生高精度的秒信號(hào)送入閘門(mén)控制模塊以控制計(jì)數(shù)器的計(jì)數(shù)和復(fù)位時(shí)間,最終通過(guò)譯碼顯示模塊顯示出結(jié)果。另外還有校準(zhǔn)頻率計(jì)的校準(zhǔn)信號(hào)模塊和給系統(tǒng)提供能量的電源模塊。電路設(shè)計(jì)完成后,將各單元電路分別送入Multisim10中仿真,得出結(jié)果和理論分析完全吻合,最終驗(yàn)證設(shè)計(jì)方案有效

4、。關(guān)鍵詞:數(shù)字頻率計(jì);測(cè)頻法;數(shù)字顯示;仿真目 錄 TOC o 1-2 h z u HYPERLINK l _Toc265637153 引 言 PAGEREF _Toc265637153 h 1 HYPERLINK l _Toc265637154 第1章 總體方案設(shè)計(jì) PAGEREF _Toc265637154 h 2 HYPERLINK l _Toc265637155 1.1 系統(tǒng)原理框圖 PAGEREF _Toc265637155 h 2 HYPERLINK l _Toc265637156 1.2 各單元電路介紹 PAGEREF _Toc265637156 h 2 HYPERLINK l

5、_Toc265637157 第2章 單元模塊電路的設(shè)計(jì) PAGEREF _Toc265637157 h 4 HYPERLINK l _Toc265637158 2.1 放大電路 PAGEREF _Toc265637158 h 4 HYPERLINK l _Toc265637159 2.2 整形電路 PAGEREF _Toc265637159 h 5 HYPERLINK l _Toc265637160 2.3 秒信號(hào)產(chǎn)生電路 PAGEREF _Toc265637160 h 6 HYPERLINK l _Toc265637161 2.4 閘門(mén)控制電路 PAGEREF _Toc265637161 h

6、 8 HYPERLINK l _Toc265637162 2.5 計(jì)數(shù)電路 PAGEREF _Toc265637162 h 10 HYPERLINK l _Toc265637163 2.6 譯碼顯示電路 PAGEREF _Toc265637163 h 12 HYPERLINK l _Toc265637164 2.7 校準(zhǔn)信號(hào)電路 PAGEREF _Toc265637164 h 15 HYPERLINK l _Toc265637165 2.8 直流穩(wěn)壓電源電路 PAGEREF _Toc265637165 h 16 HYPERLINK l _Toc265637166 第3章 電路的仿真 PAGER

7、EF _Toc265637166 h 18 HYPERLINK l _Toc265637167 3.1 放大電路 PAGEREF _Toc265637167 h 18 HYPERLINK l _Toc265637168 3.2 整形電路 PAGEREF _Toc265637168 h 18 HYPERLINK l _Toc265637169 3.3 秒信號(hào)產(chǎn)生電路 PAGEREF _Toc265637169 h 19 HYPERLINK l _Toc265637170 3.4 閘門(mén)控制電路 PAGEREF _Toc265637170 h 20 HYPERLINK l _Toc265637171

8、 3.5 計(jì)數(shù)譯碼顯示電路 PAGEREF _Toc265637171 h 20 HYPERLINK l _Toc265637172 3.6 校準(zhǔn)信號(hào)電路 PAGEREF _Toc265637172 h 21 HYPERLINK l _Toc265637173 3.7 直流穩(wěn)壓電路 PAGEREF _Toc265637173 h 22 HYPERLINK l _Toc265637173 3.8 總電路 PAGEREF _Toc265637173 h 23 HYPERLINK l _Toc265637174 結(jié) 論 PAGEREF _Toc265637174 h 25 HYPERLINK l _

9、Toc265637175 致 謝 PAGEREF _Toc265637175 h 26 HYPERLINK l _Toc265637176 參考文獻(xiàn) PAGEREF _Toc265637176 h 27 HYPERLINK l _Toc265637177 附錄A 電路原理總圖28 HYPERLINK l _Toc265637177 附錄B 元器件列表29引 言在電子測(cè)量技術(shù)中,頻率是一個(gè)最基本的參量,對(duì)適應(yīng)晶體振蕩器、各種信號(hào)發(fā)生器、倍頻和分頻電路的輸出信號(hào)的頻率測(cè)量,廣播、電視、電訊、微電子技術(shù)等現(xiàn)代科學(xué)領(lǐng)域。因此,數(shù)字頻率計(jì)是一種應(yīng)用很廣泛的儀器。數(shù)字頻率計(jì)(DFM)是電子測(cè)量與儀表技術(shù)最

10、基礎(chǔ)的電子儀表類(lèi)別之一, 數(shù)字頻率計(jì)是計(jì)算機(jī)、通訊設(shè)備、音頻視頻等科研生產(chǎn)領(lǐng)域不可缺少的測(cè)量?jī)x器,而且它是數(shù)字電壓表(DVM)必不可少的部件。當(dāng)今數(shù)字頻率計(jì)不僅是作為電壓表、計(jì)算機(jī)、天線電廣播通訊設(shè)備、工藝過(guò)程自動(dòng)化裝置。多種儀表儀器與家庭電器等許多電子產(chǎn)品中的數(shù)據(jù)信息輸出顯示器映入人們眼簾。集成數(shù)字頻率計(jì)由于所用元件少、投資少,體積小,功耗低,且可靠性高,功能強(qiáng),易于設(shè)計(jì)和研發(fā),使得它具有技術(shù)上的實(shí)用性和應(yīng)用的廣泛性。不論從我們用的彩色電視機(jī)、電冰箱,DVD,還有我們現(xiàn)在家庭常用到的數(shù)字電壓表數(shù)字萬(wàn)用表等等都包含有頻率計(jì)?,F(xiàn)在頻率計(jì)已是向數(shù)字智能方向發(fā)展,即可以很精確的讀數(shù)也精巧易于控制。數(shù)

11、字頻率計(jì)已是現(xiàn)在頻率計(jì)發(fā)展的方向,它不僅可以很方便的讀數(shù),而且還可以使頻率的測(cè)量范圍和測(cè)量準(zhǔn)確度上都比模擬先進(jìn).而且頻率計(jì)的使用已是很多的方面,數(shù)字衛(wèi)星、數(shù)字通訊等高科技的領(lǐng)域都有應(yīng)用。數(shù)字式頻率計(jì)的測(cè)量原理有兩類(lèi):一是直接測(cè)頻法,即在一定閘門(mén)時(shí)間內(nèi)測(cè)量被測(cè)信號(hào)的脈沖個(gè)數(shù);二是間接測(cè)頻法即測(cè)周期法,如周期測(cè)頻法。根據(jù)本設(shè)計(jì)要求的性能與技術(shù)指標(biāo),首先需要確定能滿(mǎn)足這些指標(biāo)的頻率測(cè)量方法。由上述頻率測(cè)量原理與方法的討論可知,計(jì)時(shí)法適合于對(duì)低頻信號(hào)的測(cè)量,而計(jì)數(shù)法則適合于對(duì)較高頻信號(hào)的測(cè)量。但由于用計(jì)時(shí)法所獲得的信號(hào)周期數(shù)據(jù),還需要求倒數(shù)運(yùn)算才能得到信號(hào)頻率,而求倒數(shù)運(yùn)算用中小規(guī)模數(shù)字集成電路較難實(shí)

12、現(xiàn),因此,計(jì)時(shí)法不適合本設(shè)計(jì)要求。測(cè)頻法的測(cè)量誤差與信號(hào)頻率成反比,信號(hào)頻率越低,測(cè)量誤差就越大,信號(hào)頻率越高,其誤差就越小。并且用測(cè)頻法所獲得的測(cè)量數(shù)據(jù),在閘門(mén)時(shí)間為一秒時(shí),不需要進(jìn)行任何換算,計(jì)數(shù)器所計(jì)數(shù)據(jù)就是信號(hào)頻率。綜上所述,本實(shí)驗(yàn)所用的頻率測(cè)量方法是測(cè)頻法。第1章 總體方案設(shè)計(jì)1.1 系統(tǒng)原理框圖放大整形計(jì)數(shù)閘門(mén)控制譯碼顯示直流穩(wěn)壓電源秒信號(hào)產(chǎn)生校準(zhǔn)電路被測(cè)信號(hào)根據(jù)設(shè)計(jì)要求,畫(huà)出電路整體框圖如圖1-1所示。圖1-1 數(shù)字頻率計(jì)原理框圖1.2 各單元電路介紹1.2.1 放大電路由于頻率計(jì)要求能測(cè)量各種各樣的輸入信號(hào),因此加入放大電路,用于將幅值較小的信號(hào)放大到能被計(jì)數(shù)器識(shí)別的幅值較大的

13、同頻率信號(hào)以便被計(jì)數(shù)器識(shí)別。1.2.2 整形電路整形電路用于將放大電路的放大信號(hào)變形為邊沿陡直的計(jì)數(shù)器易于識(shí)別的同頻率脈沖方波。1.2.3 秒信號(hào)產(chǎn)生電路秒信號(hào)產(chǎn)生電路可以產(chǎn)生精確的秒脈沖,此信號(hào)周期為1s,用于輸出到閘門(mén)控制電路中,負(fù)責(zé)計(jì)數(shù)、保持?jǐn)?shù)碼管中的顯示結(jié)果、自動(dòng)復(fù)位和循環(huán)。1.2.4 閘門(mén)控制電路閘門(mén)控制電路是電路的控制部分,從秒信號(hào)產(chǎn)生電路中獲取秒脈沖,從而控制計(jì)數(shù)器中的計(jì)數(shù)端和清零端,時(shí)系統(tǒng)能夠正確顯示出輸入信號(hào)的頻率,并以一定時(shí)間間隔循環(huán)運(yùn)行。1.2.5 計(jì)數(shù)電路計(jì)數(shù)電路從放大整形電路中獲取輸入信號(hào),從閘門(mén)控制電路獲取計(jì)數(shù)通斷的1s時(shí)間間隔和清零信號(hào),使之能夠準(zhǔn)確輸出被測(cè)信號(hào)在

14、1s內(nèi)循環(huán)的次數(shù)即被測(cè)信號(hào)的頻率,并將其在顯示電路中顯示出來(lái)。1.2.6 譯碼顯示電路譯碼顯示電路用于將計(jì)數(shù)電路的輸出信號(hào)譯碼成為數(shù)碼顯示管所需的信號(hào),是數(shù)碼管能正確顯示出所需數(shù)字。1.2.7 校準(zhǔn)信號(hào)電路校準(zhǔn)信號(hào)電路產(chǎn)生精準(zhǔn)的50Hz方波,用于頻率計(jì)的測(cè)試和秒信號(hào)產(chǎn)生電路的校準(zhǔn),使頻率計(jì)的顯示結(jié)果更準(zhǔn)確。1.2.8 直流穩(wěn)壓電源直流穩(wěn)壓電源框圖中的電源采用 50Hz 的交流市電。市電被降壓、整流、穩(wěn)壓后為整個(gè)系統(tǒng)提供直流電源。系統(tǒng)對(duì)電源的要求不高,可以采用串聯(lián)式穩(wěn)壓電源電路來(lái)實(shí)現(xiàn)。 第2章 單元模塊電路的設(shè)計(jì)2.1 放大電路2.1.1 原理圖圖2-1 放大電路原理圖2.1.2 主要元器件介紹

15、LM324系列器件為價(jià)格便宜的帶有真差動(dòng)輸入的四運(yùn)算放大器。與單電源應(yīng)用場(chǎng)合的標(biāo)準(zhǔn)運(yùn)算放大器相比,它們有一些顯著優(yōu)點(diǎn)。該四放大器可以工作在低到3.0伏或者高到32伏的電源下,靜態(tài)電流為MC1741的靜態(tài)電流的五分之一。共模輸入范圍包括負(fù)電源,因而消除了在許多應(yīng)用場(chǎng)合中采用外部偏置元件的必要性。每一組運(yùn)算放大器有5個(gè)引出腳,其中“+”、“-”為兩個(gè)信號(hào)輸入端,“V+”、“V-”為正、負(fù)電源端,“Vo”為輸出端。兩個(gè)信號(hào)輸入端中,Vi-(-)為反相輸入端,表示運(yùn)放輸出端Vo的信號(hào)與該輸入端的位相反;Vi+(+)為同相輸入端,表示運(yùn)放輸出端Vo的信號(hào)與該輸入端的相位相同。其引腳圖如圖2-2所示。 圖

16、2-2 LM324引腳圖2.1.3 電路原理分析此電路是將兩個(gè)反相比例運(yùn)算電路串聯(lián)起來(lái)達(dá)到較高放大倍數(shù)的目的。由原理圖及反相比例運(yùn)算電路的特點(diǎn),有 (2.1)從而Uo1= -10Ui,同理Uo=-10Uo1。于是Uo=100Ui,即輸入信號(hào)Ui經(jīng)過(guò)兩級(jí)放大之后幅值增加了100倍。足以將50mV的輸入信號(hào)放大到整形電路所需的5V。另外,電路中補(bǔ)償電阻R6和R3的取值應(yīng)該滿(mǎn)足 (2.2)從而R6=R3=1000/110=9.1k。2.2 整形電路2.2.1 原理圖圖2-3 整形電路原理圖2.2.2 主要元器件介紹此電路的主要元器件事TTL集成施密特觸發(fā)器74LS14。圖2-4所示是TTL集成施密特

17、觸發(fā)器74LS14外引線功能圖。 TTL施密特觸發(fā)與非門(mén)和緩沖器具有以下特點(diǎn):(1)輸入信號(hào)邊沿的變化即使非常緩慢,電路也能正常工作。(2)對(duì)于閾值電壓和滯回電壓均有溫度補(bǔ)償。(3)帶負(fù)載能力和抗干擾能力都很強(qiáng)。 表2-1 74LS14主要參數(shù) 圖2-4 74LS14引腳圖 器件型號(hào)74LS14VT+1.6V延遲時(shí)間15nsVT0.8V每門(mén)功耗8.6mWVT0.8V2.2.3 電路原理分析此電路利用施密特觸發(fā)器74LS14,把幅值較大的或者不規(guī)則的輸入信號(hào)整形成為矩形脈沖以利于送入計(jì)數(shù)器。其工作原理如圖2-4所示圖2-5 施密特觸發(fā)器整形原理圖當(dāng)輸入信號(hào)Ui VT+時(shí),輸出由高電平變?yōu)榈碗娖剑?/p>

18、當(dāng)Ui VT時(shí),輸出由低電平轉(zhuǎn)變?yōu)楦唠娖?,最終使輸入信號(hào)整形成為同頻率反相位的方波,加入非門(mén)CD4009之后,輸出相位最終與輸入相位相同。2.3 秒信號(hào)產(chǎn)生電路2.3.1 原理圖圖2-6 秒信號(hào)產(chǎn)生電路原理圖2.3.2 主要元器件介紹0GND輸出 u放電 D觸發(fā) TR閾值 TH12345678RDSDQQ-5K5K5KC1C2復(fù)位 RD電壓控制 CO555定時(shí)器是一種功能強(qiáng)大的模擬數(shù)字混合集成電路,應(yīng)用十分廣泛,它由TTL集成定時(shí)電路和CMOS集成定時(shí)電路,這二者功能完全相同,不同之處是:TTL集成定時(shí)電路的驅(qū)動(dòng)能力比CMOS集成定時(shí)電路大。555集成定時(shí)器內(nèi)部邏輯電路、外引線排列及邏輯功能表

19、如下:Vcc 圖2-8 NE555外部引腳圖圖2-7 555定時(shí)器內(nèi)部邏輯圖表2-2 555集成定時(shí)器的功能表RDTHTRu0T00導(dǎo)通1大于2/3VCC大于1/3VCC0導(dǎo)通1小于2/3VCC小于1/3VCC1截止1小于2/3VCC大于1/3VCC保持保持2.3.3 電路原理分析當(dāng)555定時(shí)器按照?qǐng)D2-6所示連接時(shí),可組成多諧振蕩器。、為外部電阻和電容元件。由波形圖可見(jiàn),電路沒(méi)有穩(wěn)態(tài),僅存在兩個(gè)暫穩(wěn)態(tài),電容在和之間充電和放電。期間,電源通過(guò)、向充電,可求得 (2.3)期間通過(guò)經(jīng)放電端放電,可求得 (2.4)因此,多諧振蕩器的振蕩周期為 (2.5)圖2-9 555定時(shí)器構(gòu)成多諧振蕩器的工作波形

20、555電路要求與均應(yīng)大于或等于,但應(yīng)小于或等于。因此為產(chǎn)生周期為1s的脈沖,取=, =,C=,由表達(dá)關(guān)系式(2.5)可知由此可知本電路可以產(chǎn)生非常精確的秒脈沖信號(hào)。2.4 閘門(mén)控制電路2.4.1 原理圖圖2-10 閘門(mén)控制電路原理圖2.4.2 主要元器件介紹74LS160十進(jìn)制同步計(jì)數(shù)器具有異步清零端。當(dāng)清除端為低電平時(shí),不管時(shí)鐘端CP 狀態(tài)如何,即可完成清零功能。74LS160 的預(yù)置是同步的。當(dāng)置入控制器為低電平時(shí),在 CP 上升沿作用下,輸出端 Q0Q3 與數(shù)據(jù)輸入端 P0P3 一致。74LS160 的計(jì)數(shù)是同步的,靠 CP 同時(shí)加在四個(gè)觸發(fā)器上而實(shí)現(xiàn)的。當(dāng) CEP、CET 均為高電平時(shí)

21、,在 CP 上升沿作用下 Q0Q3 同時(shí)變化,從而消除了異步計(jì)數(shù)器中出現(xiàn)的計(jì)數(shù)尖峰。其具有超前進(jìn)位功能,當(dāng)計(jì)數(shù)溢出時(shí),進(jìn)位輸出端(TC)輸出一個(gè)高電平脈沖,其寬度為 Q0 的高電平部分。在不外加門(mén)電路的情況下,可級(jí)聯(lián)成 N 位同步計(jì)數(shù)器。對(duì)于74LS160,在 CP 出現(xiàn)前,即使 CEP、CET、發(fā)生變化,電路的功能也不受影響。74LS160引腳圖和功能表如下所示。圖2-1174LS160外部引腳圖 表2-3 74LS160功能表輸 入輸 出CPCEPCETQ0全“L”01預(yù)置數(shù)據(jù)1111計(jì)數(shù)110保持110保持2.4.3 電路原理分析由圖2-10可知,74LS160被用異步清零的方法接成了8

22、進(jìn)制計(jì)數(shù)器。作出此電路的狀態(tài)轉(zhuǎn)換表如下所示。表2-4 閘門(mén)控制電路狀態(tài)轉(zhuǎn)換表計(jì)數(shù)順序電 路 狀 態(tài)輸出QD QC QB QA Q1Q20123456780 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 0010000000111111110由上表可知,電路從初始狀態(tài)QDQCQBQA=0000時(shí)開(kāi)始計(jì)數(shù),此時(shí)Q1=0,Q2=1;當(dāng)?shù)谝粋€(gè)脈沖下降沿到達(dá)時(shí),QDQCQBQA=0001,此時(shí)Q1變?yōu)?,且Q2也仍為1;當(dāng)?shù)诙€(gè)脈沖下降沿到達(dá)時(shí),QDQCQBQA=0010,此時(shí)Q1變?yōu)?,且Q2仍為1,并且Q1和Q2均能夠保持到第

23、八個(gè)脈沖到達(dá)之前;當(dāng)?shù)诎藗€(gè)脈沖下降沿到達(dá)時(shí),Q1仍保持為0,而Q2由1變?yōu)?,這時(shí)候因?yàn)镼2=0使74LS160異步清零端CLR有效,因此第八個(gè)狀態(tài)并不穩(wěn)定,電路瞬間清零使QDQCQBQA重新回到0000的初始狀態(tài)。因此,可以利用Q1僅存的一個(gè)脈沖時(shí)間的高電平實(shí)現(xiàn)計(jì)數(shù)器中的計(jì)數(shù)和保持的功能,利用Q2瞬間低電平實(shí)現(xiàn)閘門(mén)控制電路和計(jì)數(shù)電路的異步清零的功能,同時(shí)實(shí)現(xiàn)了頻率計(jì)的自動(dòng)刷新功能。2.5 計(jì)數(shù)電路2.5.1 原理圖圖2-12 計(jì)數(shù)電路原理圖2.5.2 主要元器件介紹計(jì)數(shù)電路主要元器件仍為10進(jìn)制同步計(jì)數(shù)器74LS160。其功能已在閘門(mén)控制帶電路模塊中介紹,在此不再贅述。但與閘門(mén)控制電路不同的

24、是,此電路用到了74LS160的計(jì)數(shù)控制端EPT,使計(jì)數(shù)電路計(jì)數(shù)后的輸出信號(hào)能夠保持一段時(shí)間,便于數(shù)碼管顯示。2.5.3 電路原理分析如圖2-12所示,兩塊74LS160用串行進(jìn)位的方式連接。其中每個(gè)74LS160的狀態(tài)轉(zhuǎn)換表如下所示。由表可知,當(dāng)閘門(mén)計(jì)數(shù)脈沖EP=1、閘門(mén)復(fù)位脈沖RD=1時(shí),電路工作在計(jì)數(shù)狀態(tài)。第(1)片每計(jì)到9(1001)時(shí),RCO端輸出變?yōu)楦唠娖?。下一個(gè)計(jì)數(shù)輸入脈沖到達(dá)后,第(1)片計(jì)成0(0000)狀態(tài),RCO端跳回低電平,使第(2)片的輸入端產(chǎn)生一個(gè)負(fù)跳變,于是第(2)片計(jì)入1。電路便如此工作下去,當(dāng)閘門(mén)計(jì)數(shù)脈沖EP變回0后,計(jì)數(shù)器就不再接受輸入信號(hào)CP,此時(shí)輸出Q8

25、Q7Q6Q5Q4Q3Q2Q1保持在EP由1變?yōu)?的瞬間的值不變,直到閘門(mén)復(fù)位脈沖RD變?yōu)?。表2-5 計(jì)數(shù)電路狀態(tài)轉(zhuǎn)換表計(jì)數(shù)順序電 路 狀 態(tài)等效十進(jìn)制數(shù)進(jìn)位CQD QC QB QA 0123456789100 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 10 0 0 001234567890000000000102.6 譯碼顯示電路2.6.1 原理圖圖2-13 譯碼顯示電路2.6.2 主要元器件介紹CD4511是一個(gè)用于驅(qū)動(dòng)共陰極 LED (數(shù)碼管)顯示器的 BCD 碼七段碼譯碼器,特點(diǎn):具有BCD轉(zhuǎn)換

26、、消隱和鎖存控制、七段譯碼及驅(qū)動(dòng)功能的CMOS電路能提供較大的拉電流。可直接驅(qū)動(dòng)LED顯示器。CD4511 是一片 CMOS BCD鎖存/7 段譯碼/驅(qū)動(dòng)器,其引腳排列如圖2-14所示,其中A4、A3、A2、A1為BCD碼輸入,A1為最低位。其真值表如表2-6所示。 圖2-14 CD4511引腳圖其功能介紹如下:BI:4腳是消隱輸入控制端,當(dāng)BI=0 時(shí),不管其它輸入端狀態(tài)如何,七段數(shù)碼管均處于熄滅(消隱)狀態(tài),不顯示數(shù)字。LT:3腳是測(cè)試輸入端,當(dāng)BI=1,LT=0 時(shí),譯碼輸出全為1,不管輸入 DCBA 狀態(tài)如何,七段均發(fā)亮,顯示“8”。它主要用來(lái)檢測(cè)數(shù)碼管是否損壞。LE:鎖定控制端,當(dāng)L

27、E=0時(shí),允許譯碼輸出。 LE=1時(shí)譯碼器是鎖定保持狀態(tài),譯碼器輸出被保持在LE=0時(shí)的數(shù)值。A1、A2、A3、A4、為8421BCD碼輸入端。a、b、c、d、e、f、g:為譯碼輸出端,輸出為高電平1有效。表2-6 CD4511真值表輸入輸出顯示LEBILTA4A3A2A1abcdefgXX0XXXX11111118X01XXXX0000000消隱01100001111110001100010110000101100101101101201100111111001301101000110011401101011011011501101100011111601101111110000701110

28、001111111801110011110011901110100000000消隱01110110000000消隱01111000000000消隱01111010000000消隱01111100000000消隱01111110000000消隱111XXXX鎖 存鎖存七段數(shù)碼管由7個(gè)發(fā)光二極管構(gòu)成七段字形,它是將電信號(hào)轉(zhuǎn)換為光信號(hào)的固體顯示器件,通常由磷砷化鎵(GaAsP)半導(dǎo)體材料制成。故又稱(chēng)為GaAsP七段數(shù)碼管,其最大工作電流為10mA或15mA分共陰和共陽(yáng)兩類(lèi)品種。常用共陰型號(hào)有BS201,BS202 ,BS207,LCS011-11等。共陽(yáng)型號(hào)有BS204,BS206, LA5011-

29、11等。LED數(shù)碼管中各段發(fā)光二極管的伏安特性和普通二極管類(lèi)似,只是正向壓降較大,正向電阻也較大。在一定范圍內(nèi),其正向電流與發(fā)光亮度成正比。由于常規(guī)的數(shù)碼管起輝電流只有12 mA,最大極限電流也只有1030 mA,所以它的輸入端在5 V電源或高于TTL高電平(3.5 V)的電路信號(hào)相接時(shí),一定要串加限流電阻,以免損壞器件。本電路采用七段共陰數(shù)碼管作為信號(hào)輸出顯示器,其使用條件如下:使用電壓:+5V+6V使用電流:靜態(tài)總電流80mA(每段 10mA)動(dòng)態(tài):平均電流 4-5mA峰值電流 100mA其外部引腳及內(nèi)部結(jié)構(gòu)如下。 圖2-15 七段共陰數(shù)碼管引腳圖 圖2-16 七段共陰數(shù)碼管內(nèi)部結(jié)構(gòu)圖2.

30、6.3 電路原理分析譯碼顯示電路的工作原理非常簡(jiǎn)單,當(dāng)輸入端A3、A2、A1、A0從計(jì)數(shù)電路獲取不同的值時(shí),經(jīng)過(guò)CD4511譯碼后傳到輸出端a、b、c、d、e、f、g,將其接到數(shù)碼管的相應(yīng)輸入端時(shí),只要數(shù)碼管串接的限流電阻合適,其就可以顯示出如表2-6所示的不同的阿拉伯?dāng)?shù)字。關(guān)于限流電阻R的選擇,由于數(shù)碼管靜態(tài)總電流Imin=80mA,而CD4511輸出高電平最大為Umax=5V,從而 (2.6)即限流電阻最大不能超過(guò)62.5,但為了防止損壞數(shù)碼管也不能過(guò)小,因此此電路選用50的限流電阻以使電路正常工作。2.7 校準(zhǔn)信號(hào)電路2.7.1 原理圖圖2-17 校準(zhǔn)信號(hào)電路原理圖2.7.2 主要元器件

31、介紹校準(zhǔn)信號(hào)電路主要由555定時(shí)器及電阻和電容構(gòu)成,其主要部件NE555在第2.3.2節(jié)中已詳細(xì)介紹過(guò),在此不再贅述。2.7.3 電路原理分析此電路與秒信號(hào)產(chǎn)生電路相似,也采用NE555定時(shí)器接成的多諧振蕩器產(chǎn)生所需的脈沖信號(hào)。不同的是校準(zhǔn)信號(hào)電路產(chǎn)生50Hz的幅值較大的方波,用于頻率計(jì)使用前的檢查和校準(zhǔn)。由2.3.2節(jié)的NE555工作原理和表達(dá)關(guān)系式(2.5)可知,此電路輸出頻率 (2.7)可見(jiàn)此電路可以產(chǎn)生精確地50Hz脈沖方波,但由于校準(zhǔn)信號(hào)對(duì)輸出頻率精確度要求很高,因此電路中電阻和電容所取的值還應(yīng)在仿真部分進(jìn)行微調(diào),以提高輸出頻率精確度,確保校準(zhǔn)信號(hào)的準(zhǔn)確無(wú)誤。2.8 直流穩(wěn)壓電源電路

32、2.8.1 原理圖圖2-18 直流穩(wěn)壓電源原理圖2.8.2 主要元器件介紹電子產(chǎn)品中,常見(jiàn)的三端穩(wěn)壓集成電路有正電壓輸出的78 系列和負(fù)電壓輸出的79系列。顧名思義,三端IC是指這種穩(wěn)壓用的集成電路,只有三條引腳輸出,分別是輸入端、接地端和輸出端。它的樣子普通的三極管相似,TO- 220 的標(biāo)準(zhǔn)封裝,也有9013樣子的TO-92封裝其常見(jiàn)外觀如圖2-19所示。用78/79系列三端穩(wěn)壓IC來(lái)組成穩(wěn)壓電源所需的外圍元件極少,電路內(nèi)部還有過(guò)流、過(guò)熱及調(diào)整管的保護(hù)電路,使用起來(lái)可靠、方便,而且價(jià)格便宜。該系列集成穩(wěn)壓IC型號(hào)中的78或79后面的數(shù)字代表該三端集成穩(wěn)壓電路的輸出電壓,如本電路所用到的78

33、05表示輸出電壓為+5V,7905表示輸出電壓為-5V。 圖2-19 三端穩(wěn)壓管78xx系列外觀圖2.8.3 電路原理分析直流穩(wěn)壓電源一般由電源變壓器、整流濾波電路及穩(wěn)壓電路所組成。直流集成穩(wěn)壓電源工作時(shí),交流電源電壓經(jīng)電源變壓器變換成整流電路所需的交流電壓值后,通過(guò)整流電路變成單向脈沖電壓,再由濾波電路濾去其中的交流分量,得到較平滑的直流電壓,最后經(jīng)穩(wěn)壓電路獲得穩(wěn)定的直流電壓。直流穩(wěn)壓電源的基本組成框圖如圖2-20所示: 圖2-20 直流穩(wěn)壓電源的基本組成框圖根據(jù)圖2-18的電路連接方法,其各元件參數(shù)的選擇如下:設(shè)電源負(fù)載輸出電流的平均值,由于7805輸出電壓為5V,因此橋式整流后的輸出電壓

34、平均值,從而 (2.8)又因?yàn)闉V波電容C應(yīng)滿(mǎn)足條件 (2.9) 從而電容容量為又當(dāng)時(shí), (2.10)從而變壓器副邊電壓有效值為將電網(wǎng)電壓波動(dòng)范圍的10%考慮進(jìn)去,所取電容的耐壓值為 (2.11)從而計(jì)算電容耐壓值 為達(dá)到較好的效果,圖2-18中C1、C2實(shí)際選取容量為300uF、耐壓為25V的電容作為本電路的濾波電容。變壓器匝數(shù)因此本電路實(shí)際可選取25:1的變壓器。圖中的C3、C4用于消除輸出電壓中的高頻噪聲,可取小于1uF的電容,也可取幾微法甚至幾十微法的電容,以便輸出較大的脈沖電流。對(duì)于本電路,使用0.1uF的電容即可達(dá)到要求。第3章 電路的仿真3.1 放大電路在Multisim10中,將

35、信號(hào)源XFG1接入放大電路輸入端,并用示波器XSC1監(jiān)視輸入和輸出的信號(hào)變化情況,如圖3-1所示。圖3-1 放大電路仿真圖 由上圖可知,放大電路將頻率為50Hz,幅值為50mV的的輸入信號(hào)放大到了4.805V,電路放大倍數(shù)近1000,與理論值非常接近。因此,此電路完全滿(mǎn)足設(shè)計(jì)需要,可以作為電路的輸入信號(hào)放大部分。3.2 整形電路將信號(hào)源XFG1接入整形電路輸入端,并用示波器XSC1監(jiān)視輸入和輸出的信號(hào)變化情況,如圖3-2所示。圖3-2 整形電路仿真圖由上圖可知,整形電路將頻率為50Hz,幅值為10V的的輸入信號(hào)整形成為幅值為5V的同頻率方波信號(hào),電路滿(mǎn)足設(shè)計(jì)需要,可以用作電路的輸入信號(hào)放大后的

36、整形。3.3 秒信號(hào)產(chǎn)生電路用示波器監(jiān)視555組成的多諧振蕩器的輸出的信號(hào)變化情況,如圖3-3所示。圖3-3 秒信號(hào)產(chǎn)生電路仿真由示波器中的讀數(shù)可看出,此電路可以產(chǎn)生幅值為5V,周期為999.799ms的方波信號(hào),此種精度的秒信號(hào)可使頻率計(jì)測(cè)量5000Hz以?xún)?nèi)的信號(hào)無(wú)誤差,測(cè)量1000000Hz以?xún)?nèi)的信號(hào)誤差不到萬(wàn)分之一,因此完全滿(mǎn)足設(shè)計(jì)需要。3.4 閘門(mén)控制電路用字發(fā)生器SWG1模擬脈沖輸入信號(hào)CP,用四蹤示波器XSC1監(jiān)視輸入和輸出的信號(hào)變化情況,如圖3-4所示。圖3-4 閘門(mén)控制電路仿真圖 從示波器XSC1中可以看出,此閘門(mén)控制電路完全按照表2-4所示的電路狀態(tài)轉(zhuǎn)換圖運(yùn)作,計(jì)數(shù)輸出端和異

37、步復(fù)位端每7個(gè)CP脈沖循環(huán)一次,可以完成計(jì)數(shù)、保持和復(fù)位清零的功能。3.5 計(jì)數(shù)譯碼顯示電路將信號(hào)發(fā)生器調(diào)至方波狀態(tài),接入計(jì)數(shù)電路的CP端,并用示波器監(jiān)視信號(hào)發(fā)生器的輸出信號(hào)狀態(tài)。如圖3-5(1)(2)所示。由圖中容易看出當(dāng)計(jì)數(shù)器74LS160輸入8個(gè)脈沖方波后,七段數(shù)碼顯示管中正確顯示出數(shù)字08,當(dāng)計(jì)數(shù)器輸入19個(gè)脈沖方波后,數(shù)碼管正確顯示出數(shù)字19,經(jīng)過(guò)多次重復(fù)試驗(yàn),此電路中的數(shù)碼管可以完美顯示出輸入脈沖方波的個(gè)數(shù)。因此,計(jì)數(shù)譯碼顯示電路可以在頻率計(jì)中工作。圖3-5(1) 計(jì)數(shù)譯碼顯示電路仿真圖(1)圖3-5(2) 計(jì)數(shù)譯碼顯示電路仿真圖(2)3.6 校準(zhǔn)信號(hào)電路和秒脈沖輸出電路類(lèi)似,將示

38、波器接入校準(zhǔn)信號(hào)電路輸出端,如圖3-6所示,可以讀出輸出方波信號(hào)周期為19.631ms,頻率為50.9Hz,與標(biāo)準(zhǔn)的50Hz略有偏差,因此在實(shí)際電路中,可以不斷修改電容C的值以獲取更精確的輸出頻率。圖3-6 校準(zhǔn)信號(hào)電路仿真3.7 直流穩(wěn)壓電路分別在直流穩(wěn)壓電路的變壓器副邊處、濾波電容處和輸出端各放置一個(gè)萬(wàn)用表,如圖3-7所示。從圖中可見(jiàn)穩(wěn)壓電路中各部分的電壓有效值與分析值基本符合,只有輸出負(fù)電源電壓VEE達(dá)到了-5.66V,偏差較大。網(wǎng)上查資料知,這種現(xiàn)象普遍存在于Multisim軟件的各個(gè)版本中,可能是由于軟件中的7905本身參數(shù)有誤造成的。圖3-7 直流穩(wěn)壓電路各部分電壓比較圖穩(wěn)壓電路輸

39、出波形如圖3-8所示。圖3-8 直流穩(wěn)壓電源輸出電壓波形圖從上圖可以看出220V市電經(jīng)過(guò)一系列整流、濾波、穩(wěn)壓的過(guò)程后,已經(jīng)變?yōu)槊}動(dòng)非常小、波形非常平穩(wěn)的5V的直流電壓了。3.8 總電路各單元電路均仿真無(wú)誤后,將各部分的輸入輸出連接起來(lái),組成一個(gè)完整的數(shù)字頻率計(jì)。將信號(hào)發(fā)生器接入被測(cè)信號(hào)輸入端,分別取不同類(lèi)型、不同幅值和不同頻率的輸入波形,觀察數(shù)碼顯示管的示數(shù)如圖3-9(1)(2)(3)所示。圖3-9(1) 數(shù)字頻率計(jì)總體仿真圖(1)圖3-9(2) 數(shù)字頻率計(jì)總體仿真圖(2)圖3-9(3) 數(shù)字頻率計(jì)總體仿真圖(3)從圖3-9中可以看出,本次設(shè)計(jì)的數(shù)字頻率計(jì)在測(cè)試的條件下完全正常工作,在輸入信

40、號(hào)頻率較低的情況下誤差為零。但由于Multisim10對(duì)高頻率輸入信號(hào)的仿真速度太慢,因此暫時(shí)沒(méi)有其在高頻率下的工作情況的仿真資料。結(jié) 論本次設(shè)計(jì)的多功能數(shù)字頻率計(jì),完成了設(shè)計(jì)任務(wù)書(shū)中的基本要求。本設(shè)計(jì)在對(duì)電路基本參數(shù)進(jìn)行合理計(jì)算的基礎(chǔ)上,用Multisim10對(duì)所做設(shè)計(jì)的虛擬仿真和驗(yàn)證,最終達(dá)到了較好的設(shè)計(jì)效果。由于數(shù)字頻率計(jì)是數(shù)字電路中的一個(gè)典型應(yīng)用,本設(shè)計(jì)用到的器件較多,電路連接比較復(fù)雜,可能會(huì)產(chǎn)生比較大的延時(shí),造成較大的測(cè)量誤差,使可靠性差變差。因此電路中還有許多需要完善的地方,比如說(shuō)本設(shè)計(jì)中的基準(zhǔn)秒脈沖信號(hào)的設(shè)計(jì),用的是555定時(shí)器構(gòu)成的多諧振蕩器,因此在電容C和電阻R的選擇上會(huì)有一

41、些誤差。而如果在其中加入振蕩頻率非常穩(wěn)定的晶振的話(huà),秒脈沖電路會(huì)更加精準(zhǔn)。再比如直流穩(wěn)壓電源的設(shè)計(jì)中,由于不好確定負(fù)載的等效內(nèi)阻,因此我就假定了一個(gè)平均輸出電流,以此來(lái)計(jì)算電源所需的濾波電容C的大小,雖然最終成功獲取的比較穩(wěn)定且可用的電壓,但此部分的設(shè)計(jì)仍然不夠嚴(yán)謹(jǐn),需要進(jìn)一步完善。本設(shè)計(jì)雖然是數(shù)字頻率計(jì)的設(shè)計(jì),但是完全可以在此基礎(chǔ)上將其拓展為數(shù)字脈搏計(jì)數(shù)器等以計(jì)算頻率為基礎(chǔ)的功能實(shí)用的電路,不過(guò)本次設(shè)計(jì)過(guò)程中并未對(duì)其功能進(jìn)行擴(kuò)充,這也是有待完善的地方。在這次的數(shù)字頻率計(jì)的課程設(shè)計(jì)當(dāng)中,開(kāi)始的時(shí)候遇到了很多的問(wèn)題和麻煩,比如上面所說(shuō)的直流穩(wěn)壓電源中的電容選擇的問(wèn)題開(kāi)始就困擾了我很長(zhǎng)時(shí)間。再比如說(shuō)電路設(shè)計(jì)好之后,各個(gè)參數(shù)都計(jì)算的準(zhǔn)確無(wú)誤,但最后仿真的時(shí)候卻得不到想要的結(jié)果,最后還要在Multisim中仔細(xì)調(diào)試,最終才得以解決,由此可見(jiàn)理論與實(shí)踐還是有很大的差別的。電路設(shè)計(jì)過(guò)程是一個(gè)考驗(yàn)人耐心的過(guò)程,不能有絲毫的急躁,馬虎,對(duì)電路的仿真調(diào)試更要一步一步來(lái),不能急躁,因?yàn)镸ultisim軟件的調(diào)試速度比較慢,又要求有一個(gè)比

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論