機(jī)械行業(yè):刻蝕設(shè)備國(guó)產(chǎn)崛起可期待工藝進(jìn)步促需求_第1頁(yè)
機(jī)械行業(yè):刻蝕設(shè)備國(guó)產(chǎn)崛起可期待工藝進(jìn)步促需求_第2頁(yè)
機(jī)械行業(yè):刻蝕設(shè)備國(guó)產(chǎn)崛起可期待工藝進(jìn)步促需求_第3頁(yè)
機(jī)械行業(yè):刻蝕設(shè)備國(guó)產(chǎn)崛起可期待工藝進(jìn)步促需求_第4頁(yè)
機(jī)械行業(yè):刻蝕設(shè)備國(guó)產(chǎn)崛起可期待工藝進(jìn)步促需求_第5頁(yè)
已閱讀5頁(yè),還剩26頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、研究報(bào)告評(píng)級(jí)看好維持什么是半導(dǎo)體刻蝕設(shè)備市場(chǎng)格局:巨頭壟斷,國(guó)產(chǎn)可期需求趨勢(shì):工藝進(jìn)步推動(dòng)需求向上海外借鑒:高研發(fā)構(gòu)筑內(nèi)生增長(zhǎng)CHAN GJIA N SECU R IT I ES刻蝕工藝通常位于光刻工藝之后圖1: 刻蝕工藝位于光刻工藝之后資料來(lái)源:半導(dǎo)體制造技術(shù)(中國(guó)工信出版集團(tuán)、電子工業(yè)出版社,Michael Quirk, Julian Serda著), 刻蝕技術(shù)濕法刻蝕干法刻蝕刻蝕材料硅刻蝕介質(zhì)刻蝕金屬刻蝕刻蝕是半導(dǎo)體制造核心工藝之一薄膜沉積、光刻和刻蝕是半導(dǎo)體制造的三大核心工藝薄膜沉積工藝在晶圓上沉積一層待處理的薄膜,勻膠工藝把光刻膠涂抹在薄膜上,光刻和顯影把光罩上的圖形轉(zhuǎn)移到光刻膠,刻

2、蝕把光刻膠上圖形轉(zhuǎn)移到薄膜,洗掉光刻膠后,即完成圖形從光罩到晶圓的轉(zhuǎn)移一顆芯片有數(shù)十層光罩,半導(dǎo)體制造即在薄膜沉積、光刻和刻蝕三大工藝中循環(huán),把所有光罩的圖形逐層轉(zhuǎn)移到晶圓上圖2:薄膜沉積、光刻和刻蝕是半導(dǎo)體制造三大核心工藝資料來(lái)源:半導(dǎo)體制造技術(shù)(中國(guó)工信出版集團(tuán)、電子工業(yè)出版社,Michael Quirk, Julian Serda著),圖3:刻蝕的目的是把圖形從光刻膠轉(zhuǎn)移到待刻蝕的薄膜上薄膜沉積-長(zhǎng)一層待刻蝕薄膜-沉積設(shè)備勻膠-涂抹光刻膠-勻膠機(jī)光刻-把圖形從光罩復(fù)刻到光刻膠-光刻機(jī)顯影-去掉經(jīng)曝光的光刻膠-顯影設(shè)備刻蝕-挖掉縷空的薄膜-刻蝕機(jī)去膠-去掉剩余光刻膠-去膠機(jī)資料來(lái)源: 半導(dǎo)

3、體制造技術(shù)(中國(guó)工信出版集團(tuán)、電子工業(yè)出版社,Michael Quirk, Julian Serda著),刻蝕設(shè)備主要指標(biāo)表1:刻蝕設(shè)備主要指標(biāo)包括刻蝕速率、剖面、偏差、選擇比等指標(biāo)類型指標(biāo)表述刻蝕速率刻蝕過(guò)程中去除待刻蝕的材料的速度,通常越快越好刻蝕剖面各向異性刻蝕只在垂直方向刻蝕,能保證側(cè)壁上下寬度一致,干法刻蝕通常是各向異性的,為現(xiàn)在主流刻蝕方法 各向同性刻蝕在各個(gè)方向上以相同的速率刻蝕,會(huì)造成光刻膠下的鉆蝕,導(dǎo)致線寬損失,濕法刻蝕通常各向同性刻蝕偏差刻蝕后線寬或關(guān)鍵尺寸間距的變化,即刻蝕得到的圖形比光刻膠更凹進(jìn)去通常由橫向鉆蝕或刻蝕剖面不合格引起選擇比同一刻蝕條件下,刻蝕一種材料比另一

4、種材料的速率快多少高選擇比的刻蝕工藝,在刻蝕一種材料的同時(shí)不刻蝕其他材料,可保護(hù)光刻膠和其余部件,工藝越先進(jìn),對(duì)選擇比 要求越高其他指標(biāo)均勻性、殘留物、聚合物、等離子體誘導(dǎo)損失、顆粒沾污、寬深比等資料來(lái)源: 半導(dǎo)體制造技術(shù)(中國(guó)工信出版集團(tuán)、電子工業(yè)出版社,Michael Quirk, Julian Serda著) ,等離子干法刻蝕是目前主流刻蝕工藝濕法刻蝕各向異性較差,側(cè)壁容易產(chǎn)生橫向鉆蝕造成刻蝕偏差,通常用于工藝尺寸較大的刻蝕,或用于干法刻蝕后清洗殘留物等干法刻蝕是目前主流的刻蝕技術(shù),通常采用等離子干法刻蝕,等離子干法刻蝕機(jī)主要有ICP、CCP刻蝕機(jī)等等離子干法刻蝕機(jī),在反應(yīng)腔中將氣體激發(fā)

5、為高速運(yùn)動(dòng)(高能量)的等離子狀態(tài),并控制等離子氣體的運(yùn)動(dòng)方向,使等離子轟擊晶圓表面的薄膜(物理刻蝕)或與薄膜發(fā)生反應(yīng)(化學(xué)刻蝕)或兩者結(jié)合(物理化學(xué)混合),實(shí)現(xiàn)刻蝕決定刻蝕效果的主要指標(biāo)有:等離子能量和等離子濃度表2:刻蝕機(jī)按工藝分為濕法和干法刻蝕,干法刻蝕為主流工藝實(shí)現(xiàn)方式優(yōu)點(diǎn)濕法刻蝕化學(xué)試劑(酸、堿等) 腐蝕1、對(duì)材料的刻蝕選擇比較高2、對(duì)器件的損傷較小3、設(shè)備成本較低物理方法1、刻蝕剖面各向異性,具有較好的側(cè)壁剖面控制2、較好的線寬偏差控制3、最小的光刻膠脫落或粘附問(wèn)題4、較好的片內(nèi)、片間和批次間刻蝕的一致性5、較低的材料消耗和廢氣處理費(fèi)用干法刻蝕化學(xué)方法物理化學(xué)混合方法資料來(lái)源:半導(dǎo)體

6、制造技術(shù)(中國(guó)工信出版集團(tuán)、電子工業(yè)出版社,Michael Quirk, Julian Serda著), 圖4:化學(xué)和物理干法刻蝕原理資料來(lái)源:半導(dǎo)體制造技術(shù)(中國(guó)工信出版集團(tuán)、電子工業(yè)出版社,Michael Quirk, Julian Serda著), 干法等離子體刻蝕機(jī)中最常見(jiàn)的是CCP和ICP刻蝕機(jī)干法等離子體刻蝕中最常見(jiàn)的是電容性耦合等離子體刻蝕(CCP)和電感性耦合等離子體刻蝕(ICP),兩者激發(fā)等離子體的方式不同CCP為電容極板激發(fā)等離子體,ICP由電感線圈激發(fā)等離子體,兩者涵蓋了絕大部分刻蝕應(yīng)用雙頻電源CCP刻蝕可在一定程度上改善CCP刻蝕不能單獨(dú)控制等離子體濃度和能量的特點(diǎn)IC

7、P刻蝕設(shè)備按結(jié)構(gòu)不同又分為螺旋狀線圈ICP和平面盤繞狀I(lǐng)CP(又稱TCP)螺旋狀線圈ICP,在刻蝕反應(yīng)腔體外纏繞電感線圈平面盤繞狀I(lǐng)CP,線圈在一個(gè)平面內(nèi),放置在反應(yīng)腔體上部此外還有一種ECP方式也可看做ICP的一種圖5:雙頻電源CCP刻蝕機(jī)原理圖(電源加在一個(gè)/兩個(gè)極板)資料來(lái)源:等離子體刻蝕工藝的物理基礎(chǔ)(物理學(xué)和高新技術(shù),戴忠玲、毛明、王友年),圖6:螺旋狀線圈ICP刻蝕機(jī)和平面盤繞狀I(lǐng)CP刻蝕機(jī)原理圖資料來(lái)源:等離子體刻蝕工藝的物理基礎(chǔ)(物理學(xué)和高新技術(shù),戴忠玲、毛明、王友年),ICP和CCP刻蝕設(shè)備的主要應(yīng)用場(chǎng)景不同ICP:刻蝕較軟和較薄,需精細(xì)控制的材料,如柵極硅刻蝕CCP:較硬材

8、料上,刻蝕高深寬比的深孔、深溝等,如介質(zhì)通孔刻蝕國(guó)外各家刻蝕設(shè)備公司主要技術(shù)路線應(yīng)用材料(ICP),Lam(TCP),TEL(CCP),Hitachi(ECP)表3:ICP和CCP刻蝕設(shè)備的主要應(yīng)用場(chǎng)景不同設(shè)備類型特點(diǎn)應(yīng)用場(chǎng)景電容耦合 CCP刻蝕設(shè)備1、不易單獨(dú)控制等離子體濃度和能量2、較高的工作氣壓3、通常較大能量,易過(guò)刻蝕損傷晶圓1、高能離子2、在較硬材料上,刻蝕高深寬比的深孔、深溝等3、如介質(zhì)通孔刻蝕電感耦合 ICP刻蝕設(shè)備1、可分別控制等離子體濃度和能量2、較低的工作氣壓3、通常均勻的離子濃度、低能量,不易損傷晶圓1、低能離子,均勻的離子濃度2、刻蝕較軟的和較薄的材料3、如柵極硅刻蝕資

9、料來(lái)源:半導(dǎo)體制造技術(shù)(中國(guó)工信出版集團(tuán)、電子工業(yè)出版社,Michael Quirk, Julian Serda著),晶圓制造過(guò)程分FEOL和BEOL段,對(duì)工藝要求不同圖7: 典型晶圓剖面圖,制造過(guò)程分FEOL段和BEOL段金屬層6 層間介質(zhì)5晶圓制造由低向高逐層堆積,每一層都需經(jīng)薄膜沉積、光刻和刻蝕,靠前的工序?yàn)镕EOL,靠后的為BEOL金屬層出現(xiàn)前的制造階段為FEOL(Front end of line), 是晶圓制造最靠前工序,對(duì)工藝、線寬要求較嚴(yán)格,是關(guān)金屬層5 層間介質(zhì)4金屬層4 層間介質(zhì)3金屬層3BEOL段介質(zhì)、金屬刻蝕大深寬比CCP刻蝕設(shè)備鍵工藝段金屬層出現(xiàn)后的制造階段為BEOL

10、(Back end of line), 是晶圓制造中靠后的工序,對(duì)工藝、線寬要求較FEOL更寬松層間介質(zhì)2金屬通孔金屬層2 層間介質(zhì)1金屬層1FEOL段對(duì)工藝要求較高,如14nm工藝中,F(xiàn)EOL段需嚴(yán)格做到14nm金屬介質(zhì)硅接觸孔金屬接觸孔硅FEOL段(關(guān)鍵)硅、介質(zhì)刻蝕BEOL段對(duì)工藝要求稍低,如14nm工藝中,BEOL段通常不必做到14nmP+硅P+N+硅N+N阱P阱ICP刻蝕設(shè)備資料來(lái)源:半導(dǎo)體制造技術(shù)(中國(guó)工信出版集團(tuán)、電子工業(yè)出版社,Michael Quirk, Julian Serda著),按刻蝕的材料可分為硅刻蝕、介質(zhì)刻蝕和金屬刻蝕FEOL段、BEOL段和后道先進(jìn)封裝中,刻蝕的材

11、料和要求各不相同F(xiàn)EOL段主要是對(duì)硅和介質(zhì)的精細(xì)刻蝕,線寬、等離子能量控制、對(duì)晶圓的損傷等要求嚴(yán)格BEOL段主要是對(duì)介質(zhì)和金屬的刻蝕,主要是刻蝕高深寬比的深孔、深槽等后道先進(jìn)封裝中,硅通孔工藝(TSV)刻蝕微米級(jí)通孔,對(duì)刻蝕設(shè)備的功率要求較大表4:FEOL段、BEOL段和先進(jìn)封裝工藝中刻蝕的材料和對(duì)刻蝕的要求不同工藝段主要刻蝕材料刻蝕要求主要刻蝕工藝主要刻蝕設(shè)備FEOL段硅 介質(zhì)材料較薄,對(duì)工藝、線寬要求較嚴(yán)格,是關(guān)鍵工藝段柵極硅刻蝕接觸孔介質(zhì)刻蝕淺溝槽硅刻蝕介質(zhì)側(cè)墻刻蝕等ICP刻蝕設(shè)備BEOL段介質(zhì)金屬材料較硬較厚,高深寬比深孔、深槽對(duì)工藝、線寬要求較FEOL更寬松通孔介質(zhì)刻蝕鈍化層刻蝕金屬

12、刻蝕等CCP刻蝕設(shè)備后道先進(jìn)封裝硅微米級(jí)深孔刻蝕硅通孔刻蝕等TSV刻蝕設(shè)備資料來(lái)源:半導(dǎo)體制造技術(shù)(中國(guó)工信出版集團(tuán)、電子工業(yè)出版社,Michael Quirk, Julian Serda著),CHANGJ IANG ECURITI E刻蝕設(shè)備19年全球市場(chǎng)空間約116億美元,2020年約140億美元半導(dǎo)體設(shè)備中晶圓制造設(shè)備價(jià)值量占比約80%,刻蝕設(shè)備占比最大光刻、刻蝕和薄膜設(shè)備等核心工藝環(huán)節(jié)的設(shè)備價(jià)值量較大刻蝕設(shè)備約占晶圓制造設(shè)備價(jià)值量的24%,近年來(lái)超過(guò)光刻,占比最大據(jù)SEMI預(yù)計(jì),2019年全球半導(dǎo)體設(shè)備銷售額約595.8億美元,2020年約719.2億美元2019年全球刻蝕設(shè)備市場(chǎng)空間

13、約116億美元,2020年約140億美元圖8:晶圓制造設(shè)備占半導(dǎo)體設(shè)備價(jià)值量的約80%,刻蝕占約24%份額圖9:全球半導(dǎo)體設(shè)備銷售額其他設(shè)備, 4.0%測(cè)試設(shè)備, 8.7%封裝設(shè)備, 6.4%晶圓制造設(shè)備, 80.8%刻蝕設(shè)備, 24%其他沉積設(shè)備, 9%光刻機(jī)/光刻膠處理, 23%CVD, 18%20092010201120122013201420152016201720182019E2020ECMP/表面處理/清潔,8007006005004003002001000620.9 595.8719.2200%150%100%50%0%-50%-100%檢測(cè)設(shè)備, 13%13%全球半導(dǎo)體設(shè)備銷售

14、額(億美元)同比資料來(lái)源:SEMI/SEAJ WWSEMS,資料來(lái)源:SEMI,刻蝕設(shè)備市場(chǎng)由巨頭壟斷晶圓制造設(shè)備公司龍頭效應(yīng)顯著前4大設(shè)備公司市場(chǎng)份額占比達(dá)約60%前4大公司主要業(yè)務(wù)均為光刻、刻蝕、薄膜沉積等核心工藝環(huán)節(jié)刻蝕設(shè)備市場(chǎng)由Lam、東京電子和應(yīng)用材料三大巨頭壟斷Lam占全球刻蝕設(shè)備約50%份額,東京電子和應(yīng)用材料各占約20%份額此外有日立高新技術(shù)、SPTS、及部分國(guó)產(chǎn)刻蝕設(shè)備公司參與刻蝕設(shè)備競(jìng)爭(zhēng)圖10: 2017年半導(dǎo)體設(shè)備前12大公司市場(chǎng)份額圖11:2016年全球刻蝕設(shè)備市場(chǎng)份額占比情況其他, 23.57%尼康, 1.1%先域, 1.2%大福, 1.2%日立國(guó)際電氣, 1.7%應(yīng)

15、用材料, 19.1%泛林, 15.1%18.1%9.5%52.7%Lam東京電子應(yīng)用材料日立高新, 1.8%細(xì)美事, 1.9%迪恩士, 2.5%科天, 5.0%阿斯麥, 12.9%東電電子, 12.9%19.7%其他資料來(lái)源:SEMI,資料來(lái)源:Gartner,刻蝕設(shè)備行業(yè)經(jīng)不斷整合,目前格局較為穩(wěn)定并購(gòu)整合是刻蝕設(shè)備公司強(qiáng)化自身競(jìng)爭(zhēng)力的有效途徑刻蝕設(shè)備行業(yè)經(jīng)數(shù)十年發(fā)展、競(jìng)爭(zhēng)和整合,目前主要由Lam、應(yīng)用材料和東京電子三家壟斷應(yīng)用材料2013年9月曾嘗試并購(gòu)東京電子,Lam于2015年10月曾嘗試并購(gòu)KLA-Tencor,但均因反壟斷而失敗,目前刻蝕設(shè)備巨頭競(jìng)爭(zhēng)格局較為穩(wěn)定圖12:經(jīng)30多年競(jìng)

16、爭(zhēng)和整合,刻蝕設(shè)備行業(yè)集中到主要的3家表5:刻蝕設(shè)備龍頭公司主要并購(gòu)情況時(shí)間收購(gòu)公司被收購(gòu)標(biāo)的被收購(gòu)標(biāo)的主要業(yè)務(wù)2006LamSilfex與刻蝕相關(guān)的零部件和輔助系統(tǒng)2007東京電子Epion與刻蝕相關(guān)的零部件和輔助系統(tǒng)2007LamSEZ Group濕法刻蝕2009應(yīng)用材料Semitool濕法刻蝕2012東京電子FSI濕法刻蝕2017LamCoventor3D 建模和分析資料來(lái)源:東京電子、應(yīng)用材料、Lam等公司官網(wǎng),資料來(lái)源:Lam、東京電子、應(yīng)用材料、Conventor等相關(guān)公司官網(wǎng),預(yù)計(jì)2019/20年中國(guó)大陸刻蝕設(shè)備市場(chǎng)空間分別24.3/33.1億美元圖13: 2019與2020年是

17、中國(guó)大陸內(nèi)資晶圓廠設(shè)備投資高峰2019與2020年是中國(guó)內(nèi)資晶圓廠設(shè)備投資高峰預(yù)計(jì)2019年中國(guó)大陸半導(dǎo)體設(shè)備市場(chǎng)空間約為125.4億美元預(yù)計(jì)2020年中國(guó)大陸半導(dǎo)體設(shè)備市場(chǎng)空間約為170.6億美元2019年與2020年中國(guó)大陸主要半導(dǎo)體設(shè)備市場(chǎng)空間巨大預(yù)計(jì)2019與2020年中國(guó)大陸刻蝕設(shè)備市場(chǎng)空間分別24.3、33.1億美元1009080706050403020100中國(guó)大陸內(nèi)資晶圓廠規(guī)劃設(shè)備投資額測(cè)算(億美元)2017H22018H12018H22019H12019H22020H12020H22021H12021H22022H12022H2圖14: 2019/20年中國(guó)大陸是全球最大半導(dǎo)

18、體設(shè)備市場(chǎng)之一(億美元)資料來(lái)源:集成電路應(yīng)用,2017年上海集成電路產(chǎn)業(yè)發(fā)展研究報(bào)告,圖15:2019與2020年中國(guó)大陸主要半導(dǎo)體設(shè)備市場(chǎng)空間(億美元)200180160170.6179.5 183.1171.13533.131.723.324.33024.8140120100806040200128.2125.413282.3114.9118.1124.9101.194.78688.9封裝設(shè)備64.92513.213.218.22017.917.915105刻蝕設(shè)備光刻機(jī)CVDCMP/表面處理/清洗過(guò)程檢測(cè)設(shè)備012.411.09.18.114.810.9測(cè)試設(shè)備其他設(shè)備5.0 6.9中

19、國(guó)大陸韓國(guó)臺(tái)灣地區(qū)日本北美歐洲東南亞和其他2013201420152016201720182019E2020E資料來(lái)源:SEMI,其他沉積設(shè)備資料來(lái)源:SEMI/SEAJ WWSEMS,國(guó)產(chǎn)刻蝕設(shè)備已具有一定競(jìng)爭(zhēng)力截止至2018年12月31日,長(zhǎng)江存儲(chǔ)采購(gòu)的刻蝕設(shè)備中,Lam占據(jù)最大份額,國(guó)產(chǎn)刻蝕設(shè)備以16%的份額排第二截止至2018年12月31日,福建晉華采購(gòu)的刻蝕設(shè)備中,東京電子占據(jù)最大份額,國(guó)產(chǎn)刻蝕設(shè)備以17%的份額排第四盡管在線寬要求更嚴(yán)格的關(guān)鍵工序ICP硅刻蝕等領(lǐng)域國(guó)產(chǎn)刻蝕設(shè)備與國(guó)外龍頭仍有差距,但國(guó)產(chǎn)刻蝕設(shè)備在CCP介質(zhì)刻蝕、TSV等領(lǐng)域已具有一定競(jìng)爭(zhēng)力,目前已占據(jù)國(guó)內(nèi)大部分TSV

20、市場(chǎng),國(guó)產(chǎn)介質(zhì)刻蝕機(jī)進(jìn)入臺(tái)積電7nm量產(chǎn)線和5nm驗(yàn)證線,并在內(nèi)資晶圓廠中占據(jù)了較大的份額,國(guó)產(chǎn)崛起可期待圖16:截止至2018年12月31日長(zhǎng)江存儲(chǔ)的刻蝕設(shè)備訂單份額1%圖17:截止至2018年12月31日福建晉華的刻蝕設(shè)備訂單份額6%2%13%11%53%Lam國(guó)產(chǎn)刻蝕設(shè)備東京電子應(yīng)用材料DNS其他19%17%38%東京電子應(yīng)用材料Lam國(guó)產(chǎn)刻蝕設(shè)備SAMCO16%24%資料來(lái)源:中國(guó)國(guó)際招標(biāo)網(wǎng),資料來(lái)源:中國(guó)國(guó)際招標(biāo)網(wǎng),CHA4GJ IANG BECURITI E晶圓量和工藝進(jìn)步是刻蝕設(shè)備需求影響因素,刻蝕設(shè)備占比提升影響刻蝕設(shè)備需求的主要因素包括晶圓處理量、工藝進(jìn)步、半導(dǎo)體產(chǎn)業(yè)轉(zhuǎn)移和E

21、UV光刻機(jī)效率的提升核心影響因素:晶圓處理量下游景氣度,決定了所有半導(dǎo)體設(shè)備的需求工藝進(jìn)步 芯片結(jié)構(gòu)3D化、多重曝光等,促使刻蝕設(shè)備在晶圓產(chǎn)線中的價(jià)值量占比提升近年來(lái),隨著半導(dǎo)體制程進(jìn)入22nm以下,F(xiàn)inFET工藝、3D NAND工藝、多重曝光工藝等的廣泛應(yīng)用,對(duì)刻蝕設(shè)備的需求逐漸升高,刻蝕設(shè)備在晶圓產(chǎn)線的設(shè)備投資中占比逐漸提升圖18:影響刻蝕設(shè)備需求的兩個(gè)核心因素是晶圓量和工藝進(jìn)步圖19:近年來(lái)刻蝕(Etch)設(shè)備在晶圓產(chǎn)線中價(jià)值占比不斷提升刻蝕設(shè)備更新需求新增需求使用壽命很長(zhǎng)設(shè)備老化更新需求較少晶圓量工藝進(jìn)步產(chǎn)業(yè)轉(zhuǎn)移EUV光刻機(jī)效率提升資料來(lái)源:應(yīng)用材料官網(wǎng),資料來(lái)源: SEMI/SEA

22、J WWSEMS ,22nm及以下先進(jìn)制程,需通過(guò)多重曝光工藝實(shí)現(xiàn)ArF光源的深紫外DUV光刻機(jī)波長(zhǎng)為193nm,單次曝光極限精度為65nm將光刻放入水中進(jìn)行,即浸潤(rùn)式光刻,利用水的折射提高光刻機(jī)的分辨率,單次曝光極限精度為28nm22/14/10nm及臺(tái)積電第一代7nm制程,受限于光刻機(jī)的精度,無(wú)法通過(guò)單次曝光實(shí)現(xiàn),需采用多重曝光工藝,利用刻蝕機(jī)的高精度來(lái)實(shí)現(xiàn)波長(zhǎng)名發(fā)射源波長(zhǎng)(nm)光刻設(shè)備最小工藝節(jié)點(diǎn)(nm)表6:光刻機(jī)按光源不同可分為五代,ArF光源的深紫外光DUV浸潤(rùn)式光刻機(jī)借助多重曝光最高能做到7nm制程接觸式光刻機(jī)800-250接近式光刻機(jī)800-250第一代可見(jiàn)光 G線汞燈436

23、接近式光刻機(jī)800-250第二代紫外光UV I線汞燈接觸式光刻機(jī)800-250365第三代深紫外光DUV氟化氪KrF準(zhǔn)分子激光248掃描投影式光刻機(jī)180-130步進(jìn)掃描投影式光刻機(jī)130-65第四代深紫外光DUV氟化氬ArF準(zhǔn)分子激光193浸潤(rùn)式步進(jìn)掃描投影式光刻機(jī)45-7第五代極紫外光EUV二氧化碳CO2激光13.5極紫外光刻機(jī)22-5資料來(lái)源:半導(dǎo)體制造技術(shù)(中國(guó)工信出版集團(tuán)、電子工業(yè)出版社,Michael Quirk, Julian Serda著),多重曝光增大了刻蝕的步數(shù),提高了刻蝕設(shè)備用量22nm及以下工藝采用多重曝光工藝,增加了較多刻蝕步驟,增大了對(duì)刻蝕設(shè)備的需求工藝越先進(jìn),刻蝕

24、步驟數(shù)越多,對(duì)刻蝕設(shè)備的需求也就越多,刻蝕設(shè)備在產(chǎn)線中的價(jià)值占比也越大EUV光刻機(jī)采用13.5nm波長(zhǎng),單次曝光可達(dá)到7nm及以下精度,不需要多重曝光,理論上可減少配套的刻蝕機(jī)數(shù)量,但目前EUV光刻機(jī)較昂貴,光源功率較低,晶圓生產(chǎn)效率低,成本較高,未來(lái)較長(zhǎng)一段時(shí)間內(nèi),多重曝光工藝的14/10nm制程仍是主流圖20:10nm多重曝光工藝原理,涉及多次刻蝕表7:制程越先進(jìn),刻蝕的步數(shù)越多 1.沉積20nm薄膜外殼 20nm薄膜40nm核 2.刻蝕出20nm邊墻3.刻蝕掉40nm的核器件工藝器件類型總共刻蝕步數(shù)全工藝步驟數(shù)40nmLogic3528nmLogic5022nmLogic55100010

25、nmLogic11013007nmLogic140150020nm邊墻 4.沉積10nm薄膜外殼5.刻蝕出10nm邊墻6.刻蝕掉20nm的核10nm邊墻資料來(lái)源: 半導(dǎo)體制造技術(shù)(中國(guó)工信出版集團(tuán)、電子工業(yè)出版社,Michael Quirk, Julian Serda著),資料來(lái)源:SEMI ISS,國(guó)內(nèi)制程工藝有較大進(jìn)步空間,對(duì)刻蝕設(shè)備需求潛力巨大中芯國(guó)際預(yù)計(jì)2019年上旬量產(chǎn)14nm工藝,比國(guó)際先進(jìn)水平落后兩代以上國(guó)內(nèi)晶圓廠的制程工藝有較大進(jìn)步空間,每一次制程工藝更新,需建新的產(chǎn)線,即會(huì)帶來(lái)新的設(shè)備需求隨著國(guó)內(nèi)晶圓廠制程工藝的進(jìn)步,多重曝光工藝逐步得到應(yīng)用,對(duì)刻蝕機(jī)的需求潛力巨大格羅方德、

26、聯(lián)電等放棄研發(fā)12nm以下工藝,轉(zhuǎn)而優(yōu)化現(xiàn)有的14nm工藝,14nm工藝將保有長(zhǎng)期生命力,未來(lái)份額或逐步提升,14nm工藝采用了多重曝光工藝,將拉動(dòng)對(duì)刻蝕機(jī)的需求圖21:主要晶圓廠制程進(jìn)展,國(guó)內(nèi)晶圓廠還有較大進(jìn)步空間公司制程2011201220132014201520162017201820192020公司制程2011201220132014201520162017201820192020臺(tái)積電28nm PolySION2011Q3Intel22nm Planar2011Q428nm HKMG2012Q114nm FinFET2014Q220nm Planar2014Q210nm FinFET

27、2019Q416nm FinFET2015Q47nm FinFETTBD10nm FinFET2017Q1格羅方德28nm PolySION2013Q27nm FinFET2018Q222nm FD-SOI2014Q15nm FinFET2020H120nm Planar2014Q4三星28nm PolySION2012Q414nm FinFET2015Q328nm HKMG2013Q2聯(lián)電28nm HLP2014Q222nm FD-SOI2015Q214nm FinFET2017Q120nm Planar2014Q2中芯國(guó)際28nm PolySION2015Q214nm FinFET2015

28、Q120nm Planar2018Q210nm FinFET2017Q114nm FinFET2019Q17nm LPP2018Q3華力微28nm LP2018Q4資料來(lái)源:IC China,臺(tái)積電、三星、英特爾、格羅方德、聯(lián)電、中芯國(guó)際、華力微電子等公司官網(wǎng)與公告,3D NAND工藝對(duì)刻蝕和薄膜沉積工藝要求較高3D NAND工藝中,增加集成度的主要方法不再是縮小單層上線寬,而是增大堆疊的層數(shù)(32/64/96/128層),單層上線寬幾十nm即可滿足工藝要求,因此光刻機(jī)不再是3D NAND工藝的瓶頸3D NAND工藝對(duì)刻蝕和薄膜沉積工藝的技術(shù)要求較高,設(shè)備的使用量也較大平面電路對(duì)刻蝕機(jī)的技術(shù)要

29、求是能夠刻蝕出足夠精細(xì)(?。┑木€寬;3D NAND需要用很小的通孔連接幾十至一百余層硅,因此對(duì)刻蝕機(jī)的技術(shù)要求是刻蝕的深寬比能力足夠大,能夠打穿數(shù)十層硅,且保證刻蝕的角度和剖面質(zhì)量圖22:3D NAND工藝中增加集成度的方法是增大堆疊層數(shù)圖23:3D NAND工藝要求刻蝕機(jī)有足夠大的深寬比資料來(lái)源:國(guó)際電子商情,資料來(lái)源:Seekingalpha,3D NAND工藝提高了刻蝕設(shè)備需求3D NAND工藝中對(duì)刻蝕和薄膜工藝要求較高,對(duì)刻蝕設(shè)備和薄膜沉積設(shè)備需求較大3D NAND工藝中刻蝕設(shè)備的投資額占晶圓產(chǎn)線設(shè)備投資額50%以上,相比2D NAND大幅提升圖24:3D NAND工藝中,刻蝕設(shè)備投資

30、額占晶圓產(chǎn)線設(shè)備投資50%以上資料來(lái)源:東京電子,CHANGJ IANG ECURITI E美國(guó)Lam公司Lam Research 公司成立于1980年,1984年于納斯達(dá)克上市,業(yè)務(wù)覆蓋刻蝕、薄膜沉積和清洗設(shè)備等全球刻蝕設(shè)備龍頭,約占全球刻蝕設(shè)備市場(chǎng)50%份額2018財(cái)年?duì)I收110.8億美元,凈利潤(rùn)23.8億美元2018財(cái)年研發(fā)開(kāi)支11.9億美元2018財(cái)年全球員工10,900人圖25:Lam公司近幾年?duì)I收增速較快,毛利率穩(wěn)定在45%左右圖26:2018財(cái)年Lam公司營(yíng)收地區(qū)格局120100806040200201320142015201620172018營(yíng)收(億美元)營(yíng)收同比毛利率50%4

31、5%40%35%30%25%20%15%10%5%0%7%13%5%7%16%17%35%韓國(guó)日本中國(guó)大陸中國(guó)臺(tái)灣其他亞太地區(qū)北美歐洲資料來(lái)源:Bloomberg,會(huì)計(jì)年結(jié)日為6月24日資料來(lái)源:Bloomberg,美國(guó)應(yīng)用材料公司應(yīng)用材料公司成立于1967年,是全球最大半導(dǎo)體設(shè)備公司,業(yè)務(wù)覆蓋刻蝕、薄膜沉積、離子注入、CMP等多個(gè)領(lǐng)域在刻蝕設(shè)備市場(chǎng)約占20%份額2018財(cái)年?duì)I收172.5億美元,凈利潤(rùn)33.1億美元2018財(cái)年研發(fā)費(fèi)用20.2億美元2018財(cái)年全球員工21000人圖27:應(yīng)用材料公司近年?duì)I收增長(zhǎng)穩(wěn)定,毛利率維持在45%左右圖28:2018財(cái)年應(yīng)用材料營(yíng)收地區(qū)格局2001801

32、60140120100806040200201320142015201620172018營(yíng)收(億美元)營(yíng)收同比毛利率50%40%30%20%10%0%-10%-20%5%14%6%9%16%21%29%中國(guó)大陸韓國(guó)中國(guó)臺(tái)灣日本東南亞北 美 歐洲資料來(lái)源:Bloomberg,會(huì)計(jì)年結(jié)日為10月28日資料來(lái)源:Bloomberg,日本東京電子公司東京電子成立于1963年,是日本最大半導(dǎo)體設(shè)備公司,業(yè)務(wù)覆蓋氧化爐管、刻蝕、清洗、勻膠、薄膜沉積等多個(gè)領(lǐng)域刻蝕設(shè)備市場(chǎng)占有約20%份額,以CCP刻蝕機(jī)為主2018財(cái)年?duì)I收102.1億美元,凈利潤(rùn)18.5億美元2018財(cái)年研發(fā)費(fèi)用8.8億美元2018財(cái)年全球員工11946人圖29:東京電子最近兩財(cái)年?duì)I收恢復(fù)增長(zhǎng),毛利率40%左右圖30:2018財(cái)年?yáng)|京電子營(yíng)收地區(qū)格局120100806040200201320142015201620172018營(yíng)收(億美元)營(yíng)收同比毛利率50%40%30%20%10%0%-10%-20%-30%1

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論