FPGA的數(shù)字秒表設(shè)計與仿真_第1頁
FPGA的數(shù)字秒表設(shè)計與仿真_第2頁
FPGA的數(shù)字秒表設(shè)計與仿真_第3頁
全文預(yù)覽已結(jié)束

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、【W(wǎng)ord版本下載可任意編輯】 FPGA的數(shù)字秒表設(shè)計與仿真 architecture Behavioral of topfile is signal clk:std_logic:=0; signal enableout:std_logic:=0; signal data0,data1,data2, data3,data4,data5:std_logic_vector(3 downto 0):=“0000”; component abc port(clk:in std_logic; dout:out std_logic); end component; component enable por

2、t(enablein:in std_logic; enableout:out std_logic); end component; component highlevel port(rst,clk,clear:in std_logic; output1,output2,output3, output4,output5,output6:out std_logic_vector(3 downto 0); carryout:out std_logic); end component; component yima port(datainput:in std_logic_vector(3 downto

3、 0); dataoutput: out std_logic_vector(6 downto 0); end component; begin u0:abc port map(clkin,clk); u1:enable port map(enablein,enableout); u2:highlevel port map(enableout,clk,clear,data0,data1,data2,data3,data4,data5); u3:yima port map(data0,dataout0); u4:yima port map(data1,dataout1); u5:yima port

4、 map(data2,dataout2); u6:yima port map(data3,dataout3); u7:yima port map(data4,dataout4); u8:yima port map(data5,dataout5); end Behavioral; 由于各個子模塊都已經(jīng)經(jīng)過驗證無誤,并且頂層文件中不涉及復(fù)雜的時序關(guān)系,相當于只是將各個模塊用導(dǎo)線連接起來,只要各個端口的連接對應(yīng)正確即可,所以不需寫專門的test bench開展驗證。完成以上設(shè)計后,即可開展邏輯綜合,綜合無誤后開展管腳適配,生成。bit文件然后到實驗板上測試。經(jīng)過反復(fù)多次測試,以上設(shè)計完全滿足了預(yù)期的設(shè)計指標,開始/停止按鍵和清零按鍵都能準確的控制秒表的運行,七段顯示數(shù)碼管也能夠準確的顯示計時結(jié)果。通過與標準秒表比照,該設(shè)計的計時誤差在0.03s以內(nèi),而這其中也包括實驗板上晶振由于長期使用所帶來的誤差。 4 結(jié)束語 本文所介紹數(shù)字秒表設(shè)計方法,采用了當下的EDA設(shè)計手段。在Xinlinx FPGA開發(fā)環(huán)境下,采用至上而下的模塊化設(shè)計方法,使得系統(tǒng)開發(fā)速度快、成本低、系統(tǒng)性能大幅度提升。通過實驗驗證,本文設(shè)計的數(shù)字秒表計時準確、性能穩(wěn)定,可以很容易嵌入其他復(fù)雜的數(shù)字系統(tǒng),充當計時模塊。 利用EDA設(shè)計工具,結(jié)合基于FPGA的

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論