EDA與電子技術(shù)課程設(shè)計(jì)課件_第1頁(yè)
EDA與電子技術(shù)課程設(shè)計(jì)課件_第2頁(yè)
EDA與電子技術(shù)課程設(shè)計(jì)課件_第3頁(yè)
EDA與電子技術(shù)課程設(shè)計(jì)課件_第4頁(yè)
EDA與電子技術(shù)課程設(shè)計(jì)課件_第5頁(yè)
已閱讀5頁(yè),還剩279頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

EDA與電子技術(shù)課程設(shè)計(jì)教材:EDA與數(shù)字系統(tǒng)設(shè)計(jì)李國(guó)麗朱維勇欒銘主編EDA與電子技術(shù)課程設(shè)計(jì)教材:EDA與數(shù)字系統(tǒng)設(shè)計(jì)1緒論門電路、觸發(fā)器等稱為邏輯器件;由邏輯器件構(gòu)成,能執(zhí)行某單一功能的電路,如計(jì)數(shù)器、譯碼器、加法器等,稱為邏輯功能部件;由邏輯功能部件組成的能實(shí)現(xiàn)復(fù)雜功能的數(shù)字電路稱數(shù)字系統(tǒng)。緒論門電路、觸發(fā)器等稱為邏輯器件;2圖0-1數(shù)字系統(tǒng)框圖圖0-1數(shù)字系統(tǒng)框圖3數(shù)字系統(tǒng)設(shè)計(jì)方法簡(jiǎn)介

明確設(shè)計(jì)要求,確定系統(tǒng)的輸入/輸出;確定整體設(shè)計(jì)方案;自頂向下(top-down)的模塊化設(shè)計(jì)方法。數(shù)字系統(tǒng)設(shè)計(jì)方法簡(jiǎn)介明確設(shè)計(jì)要求,確定系統(tǒng)的輸入/輸出4數(shù)字系統(tǒng)的設(shè)計(jì)層次選用通用集成電路芯片構(gòu)成數(shù)字系統(tǒng);應(yīng)用可編程邏輯器件實(shí)現(xiàn)數(shù)字系統(tǒng);設(shè)計(jì)專用集成電路(單片系統(tǒng))。數(shù)字系統(tǒng)的設(shè)計(jì)層次選用通用集成電路芯片構(gòu)成數(shù)字系統(tǒng);5應(yīng)用可編程邏輯器件(ProgrammableLogicDevicePLD)實(shí)現(xiàn)數(shù)字系統(tǒng)設(shè)計(jì),是目前利用EDA(ElectronicDesignAutomation)技術(shù)設(shè)計(jì)數(shù)字系統(tǒng)的潮流。這種設(shè)計(jì)方法以數(shù)字系統(tǒng)設(shè)計(jì)軟件為工具,將傳統(tǒng)數(shù)字系統(tǒng)設(shè)計(jì)中的搭建調(diào)試用軟件仿真取代,對(duì)計(jì)算機(jī)上建立的系統(tǒng)模型,用測(cè)試碼或測(cè)試序列測(cè)試驗(yàn)證后,將系統(tǒng)實(shí)現(xiàn)在PLD芯片或?qū)S眉呻娐飞希@樣最大程度地縮短了設(shè)計(jì)和開發(fā)時(shí)間,降低了成本,提高了系統(tǒng)的可靠性。應(yīng)用可編程邏輯器件(ProgrammableLogicD6PLD簡(jiǎn)介

數(shù)字集成電路的發(fā)展過(guò)程:電子管晶體管小中規(guī)模集成電路(SSI,MSI)大規(guī)模集成電路(LSI)超大規(guī)模集成電路(VLSIC)

具有特定功能的專用集成電路(ApplicationSpecificIntegratedCircuitASIC)PLD簡(jiǎn)介數(shù)字集成電路的發(fā)展過(guò)程:7為使ASIC的設(shè)計(jì)周期盡可能短,出現(xiàn)了現(xiàn)場(chǎng)可編程邏輯器件(FieldProgrammableLogicDeviceFPLD),其中應(yīng)用最廣泛的當(dāng)屬CPLD和FPGA。

CPLD:ComplexProgrammableLogicDeviceFPGA:FieldProgrammableGateArray統(tǒng)稱為PLD或CPLD/FPGA。

為使ASIC的設(shè)計(jì)周期盡可能短,出8PLD發(fā)展過(guò)程早期:PROM、EPROM、EEPROM

70年代中期:ProgrammableLogicarrayPLA

70年代末:美國(guó)MMI公司ProgrammablearrayLogicPAL

80年代中期:Altera公司GenericArrayLogicGAL共同特點(diǎn):低密度PLD,結(jié)構(gòu)簡(jiǎn)單,設(shè)計(jì)靈活,規(guī)模小,難以實(shí)現(xiàn)復(fù)雜的邏輯功能。PLD發(fā)展過(guò)程早期:PROM、EPROM、EEPROM9PLD發(fā)展過(guò)程80年代中期:Altera公司ErasableProgrammableLogicDeviceEPLD

1985年:Xilinx公司FieldProgrammableGateArrayFPGA80年代末:Lattice公司提出InSystemProgrammableISP)技術(shù),相繼出現(xiàn)了一系列具備在系統(tǒng)可編程能力的復(fù)雜可編程邏輯器件(ComplexProgrammab1eLogicDeviceCPLD)。共同特點(diǎn):高密度、高速度、低功耗結(jié)構(gòu)體系更靈活、適用范圍更寬,設(shè)計(jì)風(fēng)險(xiǎn)為零。PLD發(fā)展過(guò)程80年代中期:Altera公司Erasabl10PLD發(fā)展過(guò)程90年代以后,高密度PLD在生產(chǎn)工藝、器件的編程和測(cè)試技術(shù)等方面都有了飛速發(fā)展,CPLD的集成度一般可達(dá)數(shù)千甚至上萬(wàn)門。Altera的EPF10K10每片含62000158000個(gè)可使用門,重復(fù)擦寫次數(shù)達(dá)10萬(wàn)次以上。PLD發(fā)展過(guò)程90年代以后,高密度PLD在生產(chǎn)工藝、器件的編11PLA、PAL、GAL、EPLD、FPGA、ISP-PLD的基本結(jié)構(gòu)在《數(shù)字電子技術(shù)基礎(chǔ)》的第八章有介紹PLA、PAL、GAL、EPLD、FPGA、ISP-PLD的12EDA軟件種類及各自特點(diǎn)

專門用于開發(fā)FPGA和CPLD的EDA工具分為五個(gè)模塊:1.設(shè)計(jì)輸入編輯器(xilinx的Foundation、Altera的MAX+plus2等);2.仿真器(如Mentor公司的ModelSim,Cadence公司的Verilog-XL,NC-Verilog等);3.HDL綜合器(Synopsys公司的FPGACompiler、FPGAExpress等);4.適配器(或部局布線器)(如Lattice公司的ispEXPERT中有自己的適配器);5.下載器(編程器)。EDA軟件種類及各自特點(diǎn)專門用于開發(fā)FPGA和CPLD的E13MAX+Plus210.0(學(xué)生版)支持的器件

1、EPF10K10,EPF10K10A等2、MAX7000系列(如MAX7000S中的MAX7128)3、EPM9320等MAX+Plus210.0(學(xué)生版)支持的器件1、EPF14MAX+plus2使用練習(xí)圖形輸入方式(.gdf文件);HDL(HardwareDescriptionLanguage)語(yǔ)言輸入方式1)VHDL語(yǔ)言(VeryHighSpeedIntergatedCircuitHardwareDescriptionLanguage)2)VerilogHDL語(yǔ)言MAX+plus2使用練習(xí)圖形輸入方式(.gdf文件);15設(shè)計(jì)過(guò)程設(shè)計(jì)輸入圖形或HDL語(yǔ)言輸入編譯Compiler項(xiàng)目校驗(yàn)、仿真Simulate編程或下載Program或Configure設(shè)計(jì)過(guò)程設(shè)計(jì)輸入編譯項(xiàng)目校驗(yàn)、仿真編程或下載16步驟進(jìn)入Windows操作系統(tǒng),打開MAX+Plus2;啟動(dòng)File\Project\Name菜單,輸入設(shè)計(jì)文件的名稱(給出文件存儲(chǔ)路徑);點(diǎn)擊Assign\Device菜單,選擇器件(EPM7128SLC84-6或EPF10K10LC84-4);啟動(dòng)File\New菜單,選擇GraphicEditor,打開原理圖編輯器;步驟進(jìn)入Windows操作系統(tǒng),打開MAX+Plus2;17原理圖設(shè)計(jì)輸入過(guò)程元器件放置;在元器件之間添加連線;添加輸入、輸出引腳,并給它們命名;保存原理圖。原理圖設(shè)計(jì)輸入過(guò)程元器件放置;18編譯啟動(dòng)MaxplusII/Compiler菜單,選擇Start,開始編譯;若電路有錯(cuò),編譯器停止編譯,并顯示出錯(cuò)信息;若電路無(wú)錯(cuò),則編譯通過(guò),生成.sof、.pof文件,以備硬件下載或編程時(shí)用。注意:編譯只對(duì)頂層文件進(jìn)行編譯啟動(dòng)MaxplusII/Compiler菜單,選擇Sta19時(shí)序模擬仿真

編譯通過(guò)后就可進(jìn)行時(shí)序模擬:1、建立波形輸入文件:1)打開菜單File/New,選WaveformEditorFile(.scf),OK;2)在波形編輯器窗口的Name下單擊鼠標(biāo)右鍵,出現(xiàn)浮動(dòng)菜單,選EnterNodesfromSNF…出現(xiàn)對(duì)話框;3)在Type區(qū)選Inputs和Outputs,單擊List按鈕,可在其右下方看到設(shè)計(jì)中的輸入輸出信號(hào),單擊=>可將這些信號(hào)選到SelectedNodes&Groups區(qū),OK;4)在菜單File中選Save。時(shí)序模擬仿真編譯通過(guò)后就可進(jìn)行時(shí)序模擬:20時(shí)序模擬仿真2、編輯輸入節(jié)點(diǎn)波形:1)在菜單Options中選中SnaptoGrid和ShowGrid,并打開GridSize…設(shè)置仿真時(shí)間;2)使用繪制圖形工具條繪制輸入節(jié)點(diǎn)波形;3)選File中Save存盤。時(shí)序模擬仿真2、編輯輸入節(jié)點(diǎn)波形:21時(shí)序模擬仿真3、時(shí)序模擬:1)在菜單Maxplus2中選Simulator;2)單擊Start,開始模擬;3)模擬完畢,單擊OpenScf得模擬結(jié)果,可對(duì)結(jié)果進(jìn)行檢查(注意有動(dòng)態(tài)延時(shí)和競(jìng)爭(zhēng)冒險(xiǎn)現(xiàn)象)。時(shí)序模擬仿真3、時(shí)序模擬:22管腳分配啟動(dòng)MaxplusII/FloorplanEditor菜單,則顯示該設(shè)計(jì)項(xiàng)目的管腳分配;用戶可根據(jù)下載板情況,改變管腳分配。管腳分配啟動(dòng)MaxplusII/FloorplanEdi23注意下載板有兩種:1.北京理工達(dá)勝科技有限公司生產(chǎn)的下載板,芯片管腳與外圍信號(hào)沒(méi)有連接,需在實(shí)驗(yàn)中由你連接;2.東南大學(xué)東訊通生產(chǎn)的DXT-BIII型EDA實(shí)驗(yàn)開發(fā)系統(tǒng),芯片管腳與外圍信號(hào)已連接好,必須根據(jù)下表改變管腳分配.注意下載板有兩種:24圖1-2-15PLD引腳與外部人工連接EPF10K10LC84-4圖1-2-15PLD引腳與外部人工連接EPF10K10LC25圖1-2-16PLD引腳與外部器件連接鎖定圖1-2-16PLD管腳與外部器件連接鎖定圖1-2-16PLD引腳與外部器件連接鎖定圖1-2-1626器件名稱信號(hào)名10K10管腳器件名稱信號(hào)名10K10管腳輸出發(fā)光管L12R25數(shù)據(jù)開關(guān)K229輸出發(fā)光管L12G24數(shù)據(jù)開關(guān)K330輸出發(fā)光管L1123數(shù)據(jù)開關(guān)K435輸出發(fā)光管L1022數(shù)據(jù)開關(guān)K536輸出發(fā)光管L921數(shù)據(jù)開關(guān)K637輸出發(fā)光管L819數(shù)據(jù)開關(guān)K738輸出發(fā)光管L718數(shù)據(jù)開關(guān)K839輸出發(fā)光管L665數(shù)據(jù)開關(guān)K947輸出發(fā)光管L564數(shù)據(jù)開關(guān)K1048輸出發(fā)光管L462數(shù)據(jù)開關(guān)K1149輸出發(fā)光管L361數(shù)據(jù)開關(guān)K1250輸出發(fā)光管L260數(shù)據(jù)開關(guān)K1351輸出發(fā)光管L1G59數(shù)據(jù)開關(guān)K1452輸出發(fā)光管L1R58數(shù)據(jù)開關(guān)K1553數(shù)據(jù)開關(guān)K128數(shù)據(jù)開關(guān)K1654器件名稱信號(hào)名10K10管腳器件名稱信號(hào)名10K10管腳輸出27器件名稱信號(hào)名10K10管腳器件名稱信號(hào)名10K10管腳數(shù)碼管M4M4A17揚(yáng)聲器SP27M4B16時(shí)鐘信號(hào)CP11M4C11時(shí)鐘信號(hào)CP243M4D10數(shù)碼管M3M3A9M3B8M3C7M3D6數(shù)碼管M2M2A5M2B3M2C83M2D81數(shù)碼管M1M1A80M1B79M1C78M1D73器件名稱信號(hào)名10K10管腳器件名稱信號(hào)名10K10管腳數(shù)碼28下載將實(shí)驗(yàn)板接口線與計(jì)算機(jī)并口連接,打開試驗(yàn)箱電源;啟動(dòng)MaxliusII/Programmer菜單;如果是第一次使用該軟件,啟動(dòng)Options\HardwareSetup菜單,在HardwareType中選擇ByteBlaster(MV)。Configure即可完成下載。

下載將實(shí)驗(yàn)板接口線與計(jì)算機(jī)并口連接,打開試驗(yàn)箱電源;29注意若下載后提示下載不成功信息,按以上各步檢查是否設(shè)置正確,并檢查計(jì)算機(jī)與實(shí)驗(yàn)箱硬件連接,排除故障,再次嘗試下載;隨時(shí)可利用幫助信息(?),解決疑難問(wèn)題;相同名字的導(dǎo)線,在電氣上相連(P26);器件可以旋轉(zhuǎn);一組輸入或一組輸出時(shí),可用BUS(組);改變管腳后,應(yīng)再編譯一次,再下載。注意若下載后提示下載不成功信息,按以上各步檢查是否設(shè)置正確,30VerilogHDL語(yǔ)言輸入方式進(jìn)入Windows操作系統(tǒng),打開MAX+Plus2;啟動(dòng)File\Project\Name菜單,輸入設(shè)計(jì)文件的名稱(給出文件存儲(chǔ)路徑);點(diǎn)擊Assign\Device菜單,選擇器件(EPM7128SLC84-6或EPF10K10LC84-4);啟動(dòng)File\New菜單,選擇TextEditorfile,打開原理圖編輯器;鍵入程序;在File\Saveas保存,生成.v文件;以下步驟同圖形輸入法。VerilogHDL語(yǔ)言輸入方式進(jìn)入Windows操作系統(tǒng)31有關(guān)VerilogHDL的詳細(xì)內(nèi)容參見第三章(P.98)有關(guān)VerilogHDL的詳細(xì)內(nèi)容參見第三章(P.98)32/**************************************************************///MODULE:adder//FILENAME:add.v//VERSION:v1.0//DATE:May5th,2003//AUTHOR:Peter//CODETYPE:RTL//DESCRIPTION:Anadderwithtwoinputs(1bit),oneoutput(2bits)./****************************************************/moduleadder(in1,in2,sum);

inputin1,in2;output[1:0]sum;wirein1,in2;reg[1:0]sum;

always@(in1orin2)beginsum=in1+in2;endendmodule模塊名(必須和存儲(chǔ)時(shí)的名稱相同)端口列表說(shuō)明部分模塊主體結(jié)束行注釋部分模塊定義行/*****************************33事件控制的Always語(yǔ)句,一直監(jiān)測(cè)輸入信號(hào),只要有變化,就執(zhí)行下面的塊語(yǔ)句。Case語(yǔ)句Case(敏感表達(dá)式)值1:塊語(yǔ)句1;值2:塊語(yǔ)句2;……default:塊語(yǔ)句n+1;endcasemoduledecoder(out,in);output[7:0]out;input[2:0]in;reg[7:0]out;always@(in)begincase(in)3'd0:out=8'b00000001;3'd1:out=8'b00000010;3'd2:out=8'b00000100;3'd3:out=8'b00001000;3'd4:out=8'b00010000;3'd5:out=8'b00100000;3'd6:out=8'b01000000;3'd7:out=8'b10000000;endcaseendendmodule<位寬>’<基數(shù)><數(shù)值>d十進(jìn)制h十六進(jìn)制b二進(jìn)制o八進(jìn)制事件控制的Always語(yǔ)句,一直監(jiān)測(cè)輸入信號(hào),只要有變化,就34modulejsq16(q,clk,reset);output[3:0]q;reg[3:0]q;inputclk,reset;always@(posedgeclkornegedgereset)beginif(!reset)q[3:0]<=4'b0000;elseq[3:0]<=q[3:0]+4'b0001;endendmoduleif(表達(dá)式)塊語(yǔ)句1;else塊語(yǔ)句2;modulejsq16(q,clk,reset);if(表35注意.v文件描述的功能模塊可以生成一個(gè)符號(hào),放在用戶庫(kù)中,供其它原理圖輸入文件調(diào)用,調(diào)用的方法與從器件庫(kù)中取元器件的方法相同。生成功能模塊的方法為:選擇菜單file\createdefaultsymbol,經(jīng)過(guò)與編譯相同的過(guò)程后,生成的功能模塊被放入用戶庫(kù),注意.v文件描述的功能模塊可以生成一個(gè)符號(hào),放在用戶36實(shí)驗(yàn)內(nèi)容和時(shí)間安排星期一上午講課,完成非門下載

先在F盤中設(shè)置一個(gè)自己的文件夾,例:F\lgl星期一下午星期二:1.2、1.3所有練習(xí)星期二下午4:00講課:掃描顯示電路星期三:完成1.4所有練習(xí)星期三下午:布置數(shù)字系統(tǒng)設(shè)計(jì)題星期四星期五:完成數(shù)字系統(tǒng)設(shè)計(jì)一個(gè)實(shí)驗(yàn)內(nèi)容和時(shí)間安排星期一上午講課,完成非門下載37掃描顯示實(shí)驗(yàn)室A掃描顯示實(shí)驗(yàn)室A38掃描顯示電路用發(fā)光管的狀態(tài)驗(yàn)證設(shè)計(jì)是否滿足要求。在譯碼器設(shè)計(jì)時(shí),這種方式是很直觀的,但在計(jì)數(shù)器設(shè)計(jì)時(shí),這樣的驗(yàn)證方式就顯得很不直觀,尤其當(dāng)計(jì)數(shù)器的位數(shù)增加時(shí)(如百進(jìn)制計(jì)數(shù)),太多的發(fā)光管將使結(jié)果的讀出非常困難。掃描顯示電路用發(fā)光管的狀態(tài)驗(yàn)證設(shè)計(jì)是否滿足要求。在譯碼器設(shè)39數(shù)碼管驅(qū)動(dòng)方式BCD碼驅(qū)動(dòng)直接驅(qū)動(dòng)數(shù)碼管驅(qū)動(dòng)方式BCD碼驅(qū)動(dòng)40數(shù)碼管

BCD碼驅(qū)動(dòng)輸入輸出DCBAYaYbYcYdYeYfYg字形000000010010001101000101011001111000100110101011110011011110111111111100110000110110111110010110011101101110111111110000111111111100111110111001111110011100111101100111110001110123456789AbCdEFDCBADCBA數(shù)碼管

BCD碼驅(qū)動(dòng)輸入輸出DCBAYaY41圖1-2-16PLD引腳與外部器件連接鎖定東南大學(xué)實(shí)驗(yàn)箱的靜態(tài)顯示方式屬于BCD碼驅(qū)動(dòng)撥向“靜態(tài)”圖1-2-16PLD引腳與外部器件連接鎖定東南大學(xué)實(shí)驗(yàn)箱的42數(shù)碼管直接驅(qū)動(dòng)輸入abcdefg輸出字形11111100110000110110111110010110011101101110111111110000111111111110111110111001111110011100111101100111110001110123456789ABCDEF當(dāng)采用直接驅(qū)動(dòng)方式時(shí),驅(qū)動(dòng)一個(gè)數(shù)碼管需要七個(gè)電平信號(hào),如果系統(tǒng)用來(lái)顯示結(jié)果的數(shù)碼管較多,應(yīng)考慮數(shù)字系統(tǒng)輸出信號(hào)占用PLD芯片管腳的問(wèn)題,因?yàn)镻LD芯片的管腳總數(shù)是有限的,例如F10K10,它的管腳總數(shù)是84,其中還有一些特定功能的管腳不能給用戶使用,能使用的管腳不到60個(gè),所以直接驅(qū)動(dòng)時(shí),必須設(shè)法減少占用PLD芯片的管腳的數(shù)量。解決的方法是采用動(dòng)態(tài)掃描顯示數(shù)碼管直接驅(qū)動(dòng)輸入輸出字形11111100當(dāng)43動(dòng)態(tài)掃描顯示(管腳見表1-2-2)

撥向“動(dòng)態(tài)”動(dòng)態(tài)掃描顯示(管腳見表1-2-2)

撥向“動(dòng)態(tài)”44例1-4-1設(shè)計(jì)一個(gè)電路,使八個(gè)數(shù)碼管依次同時(shí)顯示0、1、2、……A、B、…E、F。例1-4-1設(shè)計(jì)一個(gè)電路,使八個(gè)數(shù)碼管依次同時(shí)顯示0、1、245例1-4-1動(dòng)態(tài)掃描字形顯示十六進(jìn)制計(jì)數(shù)moduleled(a,b,c,d,e,f,g,D);outputa,b,c,d,e,f,g;input[3:0]D;rega,b,c,d,e,f,g;always@(D)begincase(D)4'd0:{a,b,c,d,e,f,g}=7'b1111110;4'd1:{a,b,c,d,e,f,g}=7'b0110000;4'd2:{a,b,c,d,e,f,g}=7'b1101101;4'd3:{a,b,c,d,e,f,g}=7'b1111001;4'd4:{a,b,c,d,e,f,g}=7'b0110011;4'd5:{a,b,c,d,e,f,g}=7'b1011011;4'd6:{a,b,c,d,e,f,g}=7'b1011111;4'd7:{a,b,c,d,e,f,g}=7'b1110000;4'd8:{a,b,c,d,e,f,g}=7'b1111111;4'd9:{a,b,c,d,e,f,g}=7'b1111011;4'hA:{a,b,c,d,e,f,g}=7'b1110111;4'hB:{a,b,c,d,e,f,g}=7'b0011111;4'hC:{a,b,c,d,e,f,g}=7'b1001110;4'hD:{a,b,c,d,e,f,g}=7'b0111101;4'hE:{a,b,c,d,e,f,g}=7'b1001111;4'hF:{a,b,c,d,e,f,g}=7'b1000111;endcaseendendmodulemoduledecoder(out,in);output[7:0]out;input[2:0]in;reg[7:0]out;always@(in)begincase(in)3'd0:out=8'b00000001;3'd1:out=8'b00000010;3'd2:out=8'b00000100;3'd3:out=8'b00001000;3'd4:out=8'b00010000;3'd5:out=8'b00100000;3'd6:out=8'b01000000;3'd7:out=8'b10000000;endcaseendendmodule例1-4-1動(dòng)態(tài)掃描字形顯示十六進(jìn)制計(jì)數(shù)moduleled46modulesaomiao(reset,clk,clk1,ms1,ms2,ms3,ms4,ms5,ms6,ms7,ms8,a,b,c,d,e,f,g);inputclk,reset,clk1;reg[3:0]in1;outputms1,ms2,ms3,ms4,ms5,ms6,ms7,ms8,a,b,c,d,e,f,g;regms1,ms2,ms3,ms4,ms5,ms6,ms7,ms8,a,b,c,d,e,f,g;reg[3:0]temp,flag;always@(posedgeclk)begin{ms1,ms2,ms3,ms4,ms5,ms6,ms7,ms8}=8'b00000000;flag=flag+1;case(flag)0:begintemp=in1;ms1=1;end1:begintemp=in1;ms2=1;end2:begintemp=in1;ms3=1;end3:begintemp=in1;ms4=1;end4:begintemp=in1;ms5=1;end5:begintemp=in1;ms6=1;end6:begintemp=in1;ms7=1;end7:begintemp=in1;ms8=1;endendcasecase(temp)4'd0:{a,b,c,d,e,f,g}=7'b1111110;4'd1:{a,b,c,d,e,f,g}=7'b0110000;4'd2:{a,b,c,d,e,f,g}=7'b1101101;4'd3:{a,b,c,d,e,f,g}=7'b1111001;4'd4:{a,b,c,d,e,f,g}=7'b0110011;4'd5:{a,b,c,d,e,f,g}=7'b1011011;4'd6:{a,b,c,d,e,f,g}=7'b1011111;4'd7:{a,b,c,d,e,f,g}=7'b1110000;4'd8:{a,b,c,d,e,f,g}=7'b1111111;4'd9:{a,b,c,d,e,f,g}=7'b1111011;4'hA:{a,b,c,d,e,f,g}=7'b1110111;4'hB:{a,b,c,d,e,f,g}=7'b0011111;4'hC:{a,b,c,d,e,f,g}=7'b1001110;4'hD:{a,b,c,d,e,f,g}=7'b0111101;4'hE:{a,b,c,d,e,f,g}=7'b1001111;4'hF:{a,b,c,d,e,f,g}=7'b1000111;default:{a,b,c,d,e,f,g}=7'b1111110;endcaseendalways@(posedgeclk1)beginif(!reset)in1=4'b0000;elsebeginin1=in1+1;endendendmodule東南大學(xué)實(shí)驗(yàn)箱完全用VerilogHDL完成modulesaomiao(reset,clk,clk1,47問(wèn)題是要把兩個(gè)計(jì)數(shù)器輸出的個(gè)位和十位數(shù)分別顯示在不同的數(shù)碼管上,用Sel模塊實(shí)現(xiàn)。原理是:in1,in2……in8為八個(gè)數(shù)碼管的BCD碼輸入端數(shù)據(jù),MS1有效時(shí),in1的數(shù)據(jù)送MS1顯示;MS2有效時(shí),in2的數(shù)據(jù)送MS2顯示;……MS8有效時(shí),in8的數(shù)據(jù)送MS8顯示。八組數(shù)據(jù)可以不全部都有,如此例中,十二進(jìn)制數(shù)的低四位送in1,高四位送in2,六十進(jìn)制數(shù)的低四位送in3,高四位送in4,其余數(shù)據(jù)端為空。例1-4-2設(shè)計(jì)一個(gè)電路,使兩個(gè)數(shù)碼管顯示112的十二進(jìn)制計(jì)數(shù),兩個(gè)數(shù)碼管顯示059的六十進(jìn)制計(jì)數(shù)。

注意:十二進(jìn)制計(jì)數(shù)器自行設(shè)計(jì)問(wèn)題是要把兩個(gè)計(jì)數(shù)器輸出的個(gè)位和十位數(shù)分別顯48

49EDA與電子技術(shù)課程設(shè)計(jì)課件50case(temp)

4'd0:{a,b,c,d,e,f,g}=7'b1111110;

4'd1:{a,b,c,d,e,f,g}=7'b0110000;

4'd2:{a,b,c,d,e,f,g}=7'b1101101;

4'd3:{a,b,c,d,e,f,g}=7'b1111001;

4'd4:{a,b,c,d,e,f,g}=7'b0110011;

4'd5:{a,b,c,d,e,f,g}=7'b1011011;

4'd6:{a,b,c,d,e,f,g}=7'b1011111;

4'd7:{a,b,c,d,e,f,g}=7'b1110000;

4'd8:{a,b,c,d,e,f,g}=7'b1111111;

4'd9:{a,b,c,d,e,f,g}=7'b1111011;

4'hA:{a,b,c,d,e,f,g}=7'b1110111;

4'hB:{a,b,c,d,e,f,g}=7'b0011111;

4'hC:{a,b,c,d,e,f,g}=7'b1001110;

4'hD:{a,b,c,d,e,f,g}=7'b0111101;

4'hE:{a,b,c,d,e,f,g}=7'b1001111;

4'hF:{a,b,c,d,e,f,g}=7'b1000111;

default:{a,b,c,d,e,f,g}=7'b1111110;

endcase

end

endmodule

modulesel(in1,in2,in3,in4,in5,in6,in7,in8,clk,ms1,ms2,ms3,ms4,ms5,ms6,ms7,ms8,a,b,c,d,e,f,g);inputclk;input[3:0]in1,in2,in3,in4,in5,in6,in7,in8;outputms1,ms2,ms3,ms4,ms5,ms6,ms7,ms8,a,b,c,d,e,f,g;regms1,ms2,ms3,ms4,ms5,ms6,ms7,ms8,a,b,c,d,e,f,g;reg[3:0]temp,flag;always@(posedgeclk)begin{ms1,ms2,ms3,ms4,ms5,ms6,ms7,ms8}=8'b00000000;flag=flag+1;case(flag)0:begintemp=in1;ms1=1;end1:begintemp=in2;ms2=1;end2:begintemp=in3;ms3=1;end3:begintemp=in4;ms4=1;end4:begintemp=in5;ms5=1;end5:begintemp=in6;ms6=1;end6:begintemp=in7;ms7=1;end7:begintemp=in8;ms8=1;endendcasecase(temp)

4'd0:{a,b,c,d,e,f,g51EndEnd52掃描顯示實(shí)驗(yàn)室B掃描顯示實(shí)驗(yàn)室B53掃描顯示電路用發(fā)光管的狀態(tài)驗(yàn)證設(shè)計(jì)是否滿足要求。在譯碼器設(shè)計(jì)時(shí),這種方式是很直觀的,但在計(jì)數(shù)器設(shè)計(jì)時(shí),這樣的驗(yàn)證方式就顯得很不直觀,尤其當(dāng)計(jì)數(shù)器的位數(shù)增加時(shí)(如百進(jìn)制計(jì)數(shù)),太多的發(fā)光管將使結(jié)果的讀出非常困難。掃描顯示電路用發(fā)光管的狀態(tài)驗(yàn)證設(shè)計(jì)是否滿足要求。在譯碼器設(shè)54數(shù)碼管驅(qū)動(dòng)方式BCD碼驅(qū)動(dòng)直接驅(qū)動(dòng)數(shù)碼管驅(qū)動(dòng)方式BCD碼驅(qū)動(dòng)55數(shù)碼管

BCD碼驅(qū)動(dòng)輸入輸出DCBAYaYbYcYdYeYfYg字形000000010010001101000101011001111000100110101011110011011110111111111100110000110110111110010110011101101110111111110000111111111100111110111001111110011100111101100111110001110123456789AbCdEFDCBADCBA數(shù)碼管

BCD碼驅(qū)動(dòng)輸入輸出DCBAYaY56數(shù)碼管直接驅(qū)動(dòng)輸入abcdefg輸出字形11111100110000110110111110010110011101101110111111110000111111111110111110111001111110011100111101100111110001110123456789ABCDEF當(dāng)采用直接驅(qū)動(dòng)方式時(shí),驅(qū)動(dòng)一個(gè)數(shù)碼管需要七個(gè)電平信號(hào),如果系統(tǒng)用來(lái)顯示結(jié)果的數(shù)碼管較多,應(yīng)考慮數(shù)字系統(tǒng)輸出信號(hào)占用PLD芯片管腳的問(wèn)題,因?yàn)镻LD芯片的管腳總數(shù)是有限的,例如F10K10,它的管腳總數(shù)是84,其中還有一些特定功能的管腳不能給用戶使用,能使用的管腳不到60個(gè),所以直接驅(qū)動(dòng)時(shí),必須設(shè)法減少占用PLD芯片的管腳的數(shù)量。解決的方法是采用動(dòng)態(tài)掃描顯示數(shù)碼管直接驅(qū)動(dòng)輸入輸出字形11111100當(dāng)57北理工生產(chǎn)的實(shí)驗(yàn)箱,只能用動(dòng)態(tài)掃描方式進(jìn)行數(shù)碼管顯示。硬件電路提供了一只3線-8線譯碼器,輸入端為sel2,sel1,sel0,輸出接各個(gè)數(shù)碼管片選端。3線-8線譯碼器輸入端北理工生產(chǎn)的實(shí)驗(yàn)箱,只能用動(dòng)態(tài)掃描方式進(jìn)行數(shù)碼管顯示。3線-58例1-4-1設(shè)計(jì)一個(gè)電路,使八個(gè)數(shù)碼管依次同時(shí)顯示0、1、2、……A、B、…E、F。例1-4-1設(shè)計(jì)一個(gè)電路,使八個(gè)數(shù)碼管依次同時(shí)顯示0、1、259moduleled(a,b,c,d,e,f,g,D);outputa,b,c,d,e,f,g;input[3:0]D;rega,b,c,d,e,f,g;always@(D)begincase(D)4'd0:{a,b,c,d,e,f,g}=7'b1111110;4'd1:{a,b,c,d,e,f,g}=7'b0110000;4'd2:{a,b,c,d,e,f,g}=7'b1101101;4'd3:{a,b,c,d,e,f,g}=7'b1111001;4'd4:{a,b,c,d,e,f,g}=7'b0110011;4'd5:{a,b,c,d,e,f,g}=7'b1011011;4'd6:{a,b,c,d,e,f,g}=7'b1011111;4'd7:{a,b,c,d,e,f,g}=7'b1110000;4'd8:{a,b,c,d,e,f,g}=7'b1111111;4'd9:{a,b,c,d,e,f,g}=7'b1111011;

4'hA:{a,b,c,d,e,f,g}=7'b1110111;4'hB:{a,b,c,d,e,f,g}=7'b0011111;4'hC:{a,b,c,d,e,f,g}=7'b1001110;4'hD:{a,b,c,d,e,f,g}=7'b0111101;4'hE:{a,b,c,d,e,f,g}=7'b1001111;4'hF:{a,b,c,d,e,f,g}=7'b1000111;endcaseendendmodule例1-4-1譯碼器moduleled(a,b,c,d,e,f,g,D);例160北京理工實(shí)驗(yàn)箱modulesaomiaob(reset,clk,clk1,flag,a,b,c,d,e,f,g);inputclk,reset,clk1;reg[3:0]in1;outputflag,a,b,c,d,e,f,g;rega,b,c,d,e,f,g;reg[2:0]flag;always@(posedgeclk)beginflag=flag+1;case(in1)4'd0:{a,b,c,d,e,f,g}=7'b1111110;4'd1:{a,b,c,d,e,f,g}=7'b0110000;4'd2:{a,b,c,d,e,f,g}=7'b1101101;4'd3:{a,b,c,d,e,f,g}=7'b1111001;4'd4:{a,b,c,d,e,f,g}=7'b0110011;4'd5:{a,b,c,d,e,f,g}=7'b1011011;4'd6:{a,b,c,d,e,f,g}=7'b1011111;4'd7:{a,b,c,d,e,f,g}=7'b1110000;4'd8:{a,b,c,d,e,f,g}=7'b1111111;4'd9:{a,b,c,d,e,f,g}=7'b1111011;4'hA:{a,b,c,d,e,f,g}=7'b1110111;4'hB:{a,b,c,d,e,f,g}=7'b0011111;4'hC:{a,b,c,d,e,f,g}=7'b1001110;4'hD:{a,b,c,d,e,f,g}=7'b0111101;4'hE:{a,b,c,d,e,f,g}=7'b1001111;4'hF:{a,b,c,d,e,f,g}=7'b1000111;endcaseendalways@(posedgeclk1)beginif(!reset)in1=4'b0000;elsebeginin1=in1+1;endendendmodule完全用VerilogHDL完成北京理工實(shí)驗(yàn)箱modulesaomiaob(reset,c61問(wèn)題是要把兩個(gè)計(jì)數(shù)器輸出的個(gè)位和十位數(shù)分別顯示在不同的數(shù)碼管上,用Selb模塊實(shí)現(xiàn)。原理是:in1,in2……in8為八個(gè)數(shù)碼管的BCD碼輸入端數(shù)據(jù),sel[2..0]=000時(shí),左邊數(shù)碼管(ms1)選中,in1送ms1;sel[2..0]=001時(shí),第二數(shù)碼管(ms2)選中,in1送ms2;……….sel[2..0]=111時(shí),第八數(shù)碼管(ms8)選中,in1送ms8;八組數(shù)據(jù)可以不全部都有,如此例中,十二進(jìn)制數(shù)的低四位送in1,高四位送in2,六十進(jìn)制數(shù)的低四位送in3,高四位送in4,其余數(shù)據(jù)端為空。例1-4-2設(shè)計(jì)一個(gè)電路,使兩個(gè)數(shù)碼管顯示112的十二進(jìn)制計(jì)數(shù),兩個(gè)數(shù)碼管顯示059的六十進(jìn)制計(jì)數(shù)。

注意:十二進(jìn)制計(jì)數(shù)器自行設(shè)計(jì)問(wèn)題是要把兩個(gè)計(jì)數(shù)器輸出的個(gè)位和十位數(shù)分別顯62EDA與電子技術(shù)課程設(shè)計(jì)課件63北京理工實(shí)驗(yàn)箱moduleselb(in1,in2,in3,in4,in5,in6,in7,in8,clk,flag,a,b,c,d,e,f,g);inputclk;input[3:0]in1,in2,in3,in4,in5,in6,in7,in8;outputa,b,c,d,e,f,g;outputflag;rega,b,c,d,e,f,g;reg[3:0]temp;reg[2:0]flag;always@(posedgeclk)beginflag=flag+1;case(flag)0:begintemp=in1;end1:begintemp=in2;end2:begintemp=in3;end3:begintemp=in4;end4:begintemp=in5;end5:begintemp=in6;end6:begintemp=in7;end7:begintemp=in8;endendcasecase(temp)4'd0:{a,b,c,d,e,f,g}=7'b1111110;4'd1:{a,b,c,d,e,f,g}=7'b0110000;4'd2:{a,b,c,d,e,f,g}=7'b1101101;4'd3:{a,b,c,d,e,f,g}=7'b1111001;4'd4:{a,b,c,d,e,f,g}=7'b0110011;4'd5:{a,b,c,d,e,f,g}=7'b1011011;4'd6:{a,b,c,d,e,f,g}=7'b1011111;4'd7:{a,b,c,d,e,f,g}=7'b1110000;4'd8:{a,b,c,d,e,f,g}=7'b1111111;4'd9:{a,b,c,d,e,f,g}=7'b1111011;/*4'hA:{a,b,c,d,e,f,g}=7'b1110111;4'hB:{a,b,c,d,e,f,g}=7'b0011111;4'hC:{a,b,c,d,e,f,g}=7'b1001110;4'hD:{a,b,c,d,e,f,g}=7'b0111101;4'hE:{a,b,c,d,e,f,g}=7'b1001111;4'hF:{a,b,c,d,e,f,g}=7'b1000111;*/default:{a,b,c,d,e,f,g}=7'bzzzzzzz;endcaseendendmodule北京理工實(shí)驗(yàn)箱moduleselb(in1,in2,in364EndEnd65選做一到二題數(shù)字系統(tǒng)設(shè)計(jì)問(wèn)題選做一到二題數(shù)字系統(tǒng)設(shè)計(jì)問(wèn)題66數(shù)字鐘實(shí)驗(yàn)數(shù)字鐘實(shí)驗(yàn)67設(shè)計(jì)要求設(shè)計(jì)一個(gè)能進(jìn)行時(shí)、分、秒計(jì)時(shí)的十二小時(shí)制或二十四小時(shí)制的數(shù)字鐘,并具有定時(shí)與鬧鐘功能,能在設(shè)定的時(shí)間發(fā)出鬧鈴音,能非常方便地對(duì)小時(shí)、分鐘和秒進(jìn)行手動(dòng)調(diào)節(jié)以校準(zhǔn)時(shí)間,每逢整點(diǎn),產(chǎn)生報(bào)時(shí)音報(bào)時(shí)。設(shè)計(jì)要求設(shè)計(jì)一個(gè)能進(jìn)行時(shí)、分、秒計(jì)時(shí)的十二小時(shí)制或二十四小時(shí)68設(shè)計(jì)提示主控電路計(jì)數(shù)器模塊掃描顯示

設(shè)計(jì)提示主控電路69主控電路*A、B:模式選擇,AB=00為模式0,計(jì)時(shí)狀態(tài);AB=01為模式1,手動(dòng)校時(shí)狀態(tài);AB=10為模式2,鬧鐘設(shè)置狀態(tài)。Turn:turn=0時(shí),在手動(dòng)校對(duì)時(shí),選擇調(diào)整分鐘部分;turn=1時(shí),在手動(dòng)校對(duì)時(shí),選擇調(diào)整小時(shí)部分。Change:在手動(dòng)校時(shí)或鬧鐘設(shè)置模式下,每按一次,計(jì)數(shù)器加1。Reset:reset=0時(shí),整個(gè)系統(tǒng)復(fù)位;reset=1時(shí),系統(tǒng)計(jì)時(shí)或其它特殊功能操作。Reset1:reset1=0時(shí),關(guān)閉鬧鈴信號(hào);reset1=1時(shí),可對(duì)鬧鈴進(jìn)行設(shè)置。狀態(tài)顯示信號(hào)(發(fā)光管):LD_alert:指示是否設(shè)置了鬧鈴功能;LD_h:指示當(dāng)前調(diào)整的是小時(shí)信號(hào);LD_m:指示當(dāng)前調(diào)整的是分鐘信號(hào)。主控電路*A、B:模式選擇,AB=00為模式0,計(jì)時(shí)狀態(tài);70EDA與電子技術(shù)課程設(shè)計(jì)課件71拔河游戲機(jī)拔河游戲機(jī)72設(shè)計(jì)要求設(shè)計(jì)一個(gè)能進(jìn)行拔河游戲的電路。電路使用15個(gè)(或9個(gè))發(fā)光二極管表示拔河的“電子繩”,開機(jī)后只有中間一個(gè)發(fā)亮,此即拔河的中心點(diǎn)。游戲雙方各持一個(gè)按鈕,迅速地、不斷地按動(dòng),產(chǎn)生脈沖,誰(shuí)按得快,亮點(diǎn)就向誰(shuí)的方向移動(dòng),每按一次,亮點(diǎn)移動(dòng)一次。亮點(diǎn)移到任一方終端二極管時(shí),這一方就獲勝,此時(shí)雙方按鈕均無(wú)作用,輸出保持,只有復(fù)位后才使亮點(diǎn)恢復(fù)到中心。由裁判下達(dá)比賽開始命令后,甲乙雙方才能輸入信號(hào),否則,輸入信號(hào)無(wú)效。用數(shù)碼管顯示獲勝者的盤數(shù),每次比賽結(jié)束自動(dòng)給獲勝方加分。設(shè)計(jì)要求設(shè)計(jì)一個(gè)能進(jìn)行拔河游戲的電路。73EDA與電子技術(shù)課程設(shè)計(jì)課件74設(shè)計(jì)提示加/減計(jì)數(shù)器譯碼器得分計(jì)數(shù)顯示電路設(shè)計(jì)提示加/減計(jì)數(shù)器75EDA與電子技術(shù)課程設(shè)計(jì)課件76數(shù)字式競(jìng)賽搶答器數(shù)字式競(jìng)賽搶答器77設(shè)計(jì)要求設(shè)計(jì)一個(gè)可容納四組參賽的數(shù)字式搶答器,每組設(shè)一個(gè)按鈕供搶答使用。搶答器具有第一信號(hào)鑒別和鎖存功能,使除第一搶答者外的按鈕不起作用;設(shè)置一個(gè)主持人“復(fù)位”按鈕,主持人復(fù)位后,開始搶答,第一信號(hào)鑒別鎖存電路得到信號(hào)后,用指示燈顯示搶答組別,揚(yáng)聲器發(fā)出23秒的音響。*設(shè)置犯規(guī)電路,對(duì)提前搶答和超時(shí)答題(例如3分鐘)的組別鳴笛示警,并由組別顯示電路顯示出犯規(guī)組別。設(shè)置一個(gè)計(jì)分電路,每組開始預(yù)置10分,由主持人記分,答對(duì)一次加1分,答錯(cuò)一次減1分。設(shè)計(jì)要求設(shè)計(jì)一個(gè)可容納四組參賽的數(shù)字式搶答器,每組設(shè)一個(gè)按鈕78EDA與電子技術(shù)課程設(shè)計(jì)課件79設(shè)計(jì)提示鑒別鎖存模塊答題計(jì)時(shí)模塊計(jì)分電路模塊掃描顯示模塊設(shè)計(jì)提示鑒別鎖存模塊80

1.此模塊的關(guān)鍵是準(zhǔn)確判斷出第一搶答者并將其鎖存,實(shí)現(xiàn)的方法可使用觸發(fā)器或鎖存器,在得到第一信號(hào)后將輸入封鎖,使其它組的搶答信號(hào)無(wú)效。2.形成第一搶答信號(hào)后,用編碼、譯碼及數(shù)碼顯示電路顯示第一搶答者的組別,控制揚(yáng)聲器發(fā)出音響,并啟動(dòng)答題計(jì)時(shí)電路。鑒別鎖存模塊1.此模塊的關(guān)鍵是準(zhǔn)確判斷出第一搶答者并將其鎖存,實(shí)現(xiàn)的方81EDA與電子技術(shù)課程設(shè)計(jì)課件82洗衣機(jī)控制器洗衣機(jī)控制器83設(shè)計(jì)要求設(shè)計(jì)一個(gè)洗衣機(jī)洗滌程序控制器,控制洗衣機(jī)的電機(jī)作如下規(guī)律運(yùn)轉(zhuǎn):用兩位數(shù)碼管預(yù)置洗滌時(shí)間(分鐘數(shù)),洗滌過(guò)程在送入預(yù)置時(shí)間后開始運(yùn)轉(zhuǎn),洗滌中按倒計(jì)時(shí)方式對(duì)洗滌過(guò)程作計(jì)時(shí)顯示,用LED表示電機(jī)的正、反轉(zhuǎn),如果定時(shí)時(shí)間到,則停機(jī)并發(fā)出音響信號(hào)。設(shè)計(jì)要求設(shè)計(jì)一個(gè)洗衣機(jī)洗滌程序控制器,控制洗衣機(jī)的電機(jī)作如下84EDA與電子技術(shù)課程設(shè)計(jì)課件85設(shè)計(jì)提示洗滌預(yù)置時(shí)間編碼模塊減法計(jì)數(shù)顯示時(shí)序電路譯碼驅(qū)動(dòng)模塊

設(shè)計(jì)提示洗滌預(yù)置時(shí)間編碼模塊86EDA與電子技術(shù)課程設(shè)計(jì)課件87數(shù)字頻率表數(shù)字頻率表88設(shè)計(jì)要求1.設(shè)計(jì)一個(gè)能測(cè)量方波信號(hào)頻率的頻率計(jì),測(cè)量結(jié)果用十進(jìn)制數(shù)顯示。2.測(cè)量的頻率范圍是110KHz,分成兩個(gè)頻段,即1999Hz,1KHz10KHz,用三位數(shù)碼管顯示測(cè)量頻率,用LED顯示表示單位,如亮綠燈表示Hz,亮紅燈表示KHz。3.具有自動(dòng)校驗(yàn)和測(cè)量?jī)煞N功能,即能用標(biāo)準(zhǔn)時(shí)鐘校驗(yàn)測(cè)量精度。4.具有超量程報(bào)警功能,在超出目前量程檔的測(cè)量范圍時(shí),發(fā)出燈光和音響信號(hào)。設(shè)計(jì)要求1.設(shè)計(jì)一個(gè)能測(cè)量方波信號(hào)頻率的頻率計(jì),測(cè)量結(jié)果用十89EDA與電子技術(shù)課程設(shè)計(jì)課件90設(shè)計(jì)提示脈沖信號(hào)的頻率就是在單位時(shí)間內(nèi)所產(chǎn)生的脈沖個(gè)數(shù),其表達(dá)式為f=N/T,f為被測(cè)信號(hào)的頻率,N為計(jì)數(shù)器所累計(jì)的脈沖個(gè)數(shù),T為產(chǎn)生N個(gè)脈沖所需的時(shí)間。所以,在1秒時(shí)間內(nèi)計(jì)數(shù)器所記錄的結(jié)果,就是被測(cè)信號(hào)的頻率。測(cè)量/校驗(yàn)選擇模塊*計(jì)數(shù)器模塊送存選擇報(bào)警模塊鎖存模塊掃描顯示模塊

設(shè)計(jì)提示脈沖信號(hào)的頻率就是在單位時(shí)間內(nèi)所產(chǎn)生的脈沖個(gè)數(shù),其表91測(cè)量/校驗(yàn)選擇模塊*輸入信號(hào):選擇信號(hào)selet被測(cè)信號(hào)meas測(cè)試信號(hào)test輸出信號(hào):CP1當(dāng)selet=0時(shí),為測(cè)量狀態(tài),CP1=meas;當(dāng)selet=1時(shí),為校驗(yàn)狀態(tài),CP1=test。校驗(yàn)與測(cè)量共用一個(gè)電路,只是被測(cè)信號(hào)CP1不同而已。測(cè)量/校驗(yàn)選擇模塊*92設(shè)置1秒定時(shí)信號(hào)(周期為2秒),在1秒定時(shí)時(shí)間內(nèi)的所有被測(cè)信號(hào)送計(jì)數(shù)器輸入端。計(jì)數(shù)器對(duì)CP1信號(hào)進(jìn)行計(jì)數(shù),在1秒定時(shí)結(jié)束后,將計(jì)數(shù)器結(jié)果送鎖存器鎖存,同時(shí)將計(jì)數(shù)器清零,為下一次采樣測(cè)量做好準(zhǔn)備。設(shè)置1秒定時(shí)信號(hào)(周期為2秒),在1秒定時(shí)時(shí)間內(nèi)的所有被測(cè)信93設(shè)置量程檔控制開關(guān)K,單位顯示信號(hào)Y,當(dāng)K=0時(shí),為1999Hz量程檔,數(shù)碼管顯示的數(shù)值為被測(cè)信號(hào)頻率值,Y顯示綠色,即單位為Hz;當(dāng)K=1時(shí),為1KHz10KHz量程檔,被測(cè)信號(hào)頻率值為數(shù)碼管顯示的數(shù)值乘1000,Y顯示紅色,即單位為KHz。設(shè)置量程檔控制開關(guān)K,單位顯示信號(hào)Y,當(dāng)K=0時(shí),為19994設(shè)置超出量程檔測(cè)量范圍示警信號(hào)alert。計(jì)數(shù)器由四級(jí)十進(jìn)制計(jì)數(shù)構(gòu)成(帶進(jìn)位C)。若被測(cè)信號(hào)頻率小于1KHz(K=0),則計(jì)數(shù)器只進(jìn)行三級(jí)十進(jìn)制計(jì)數(shù),最大顯示值為999.Hz,如果被測(cè)信號(hào)頻率超過(guò)此范圍,示警信號(hào)驅(qū)動(dòng)燈光、揚(yáng)聲器報(bào)警;若被測(cè)信號(hào)為1KHz10KHz(K=1),計(jì)數(shù)器進(jìn)行四位十進(jìn)制計(jì)數(shù),取高三位顯示,最大顯示值為9.99KHz,如果被測(cè)信號(hào)頻率超過(guò)此范圍,報(bào)警。設(shè)置超出量程檔測(cè)量范圍示警信號(hào)alert。計(jì)數(shù)器由四級(jí)十進(jìn)制95左第一位左第一位左第一位左第一位96EDA與電子技術(shù)課程設(shè)計(jì)課件97電子密碼鎖電子密碼鎖98設(shè)計(jì)要求設(shè)計(jì)一個(gè)電子密碼鎖,在鎖開的狀態(tài)下輸入密碼,密碼共4位,用數(shù)據(jù)開關(guān)K1K10分別代表數(shù)字1、2、…、9、0,輸入的密碼用數(shù)碼管顯示,最后輸入的密碼顯示在最右邊的數(shù)碼管上,即每輸入一位數(shù),密碼在數(shù)碼管上的顯示左移一位??蓜h除輸入的數(shù)字,刪除的是最后輸入的數(shù)字,每刪除一位,密碼在數(shù)碼管的顯示右移一位,并在左邊空出的位上補(bǔ)充“0”。用一位輸出電平的狀態(tài)代表鎖的開閉狀態(tài)。為保證密碼鎖主人能打開密碼鎖,設(shè)置一個(gè)萬(wàn)能密碼,在主人忘記密碼時(shí)使用。設(shè)計(jì)要求設(shè)計(jì)一個(gè)電子密碼鎖,在鎖開的狀態(tài)下輸入密碼,密碼共499EDA與電子技術(shù)課程設(shè)計(jì)課件100設(shè)計(jì)提示密碼輸入刪除控制模塊寄存模塊比較模塊掃描顯示模塊設(shè)計(jì)提示密碼輸入刪除控制模塊101EDA與電子技術(shù)課程設(shè)計(jì)課件102脈沖按鍵電話按鍵顯示器脈沖按鍵電話按鍵顯示器103設(shè)計(jì)要求設(shè)計(jì)一個(gè)具有7位顯示的電話按鍵顯示器,顯示器應(yīng)能正確反映按鍵數(shù)字,顯示器顯示從低位向高位前移,逐位顯示按鍵數(shù)字,最低位為當(dāng)前顯示位,七位數(shù)字輸入完畢后,電話接通,揚(yáng)聲器發(fā)出“嘟——嘟”接通聲響,直到有接聽信號(hào)輸入,若一直沒(méi)有接聽,10秒鐘后,自動(dòng)掛斷,顯示器清除顯示,揚(yáng)聲器停止,直到有新號(hào)碼輸入。設(shè)計(jì)要求設(shè)計(jì)一個(gè)具有7位顯示的電話按鍵顯示器,顯示器應(yīng)能正確104EDA與電子技術(shù)課程設(shè)計(jì)課件105設(shè)計(jì)提示號(hào)碼輸入顯示控制模塊主控制模塊掃描顯示模塊設(shè)計(jì)提示號(hào)碼輸入顯示控制模塊106EDA與電子技術(shù)課程設(shè)計(jì)課件107乒乓球比賽游戲機(jī)乒乓球比賽游戲機(jī)108任務(wù)與要求設(shè)計(jì)一個(gè)由甲、乙雙方參賽,有裁判的3人乒乓球游戲機(jī)。用8個(gè)(或更多個(gè))LED排成一條直線,以中點(diǎn)為界,兩邊各代表參賽雙方的位置,其中一只點(diǎn)亮的LED指示球的當(dāng)前位置,點(diǎn)亮的LED依此從左到右,或從右到左,其移動(dòng)的速度應(yīng)能調(diào)節(jié)。當(dāng)“球”(點(diǎn)亮的那只LED)運(yùn)動(dòng)到某方的最后一位時(shí),參賽者應(yīng)能果斷地按下位于自己一方的按鈕開關(guān),即表示啟動(dòng)球拍擊球。若擊中,則球向相反方向移動(dòng);若未擊中,則對(duì)方得1分。一方得分時(shí),電路自動(dòng)響鈴3秒,這期間發(fā)球無(wú)效,等鈴聲停止后方能繼續(xù)比賽。設(shè)置自動(dòng)記分電路,甲、乙雙方各用2位數(shù)碼管進(jìn)行記分顯示,每計(jì)滿21分為1局。甲、乙雙方各設(shè)一個(gè)發(fā)光二極管,表示擁有發(fā)球權(quán),每隔5次自動(dòng)交換發(fā)球權(quán),擁有發(fā)球權(quán)的一方發(fā)球才有效。任務(wù)與要求設(shè)計(jì)一個(gè)由甲、乙雙方參賽,有裁判的3人乒乓球游戲機(jī)109設(shè)計(jì)思路用雙向移位寄存器的輸出端控制LED顯示來(lái)模擬乒乓球運(yùn)動(dòng)的軌跡,先點(diǎn)亮位于某一方的第1個(gè)LED,由擊球者通過(guò)按鈕輸入開關(guān)信號(hào),實(shí)現(xiàn)移位方向的控制。也可用計(jì)數(shù)譯碼方式實(shí)現(xiàn)乒乓球運(yùn)動(dòng)軌跡的模擬,如利用加/減計(jì)數(shù)器的2個(gè)時(shí)鐘信號(hào)實(shí)現(xiàn)甲、乙雙方的擊球,由表示球拍的按鈕產(chǎn)生計(jì)數(shù)時(shí)鐘,計(jì)數(shù)器的輸出狀態(tài)經(jīng)譯碼驅(qū)動(dòng)LED發(fā)亮。任何時(shí)刻都保持一個(gè)LED發(fā)亮,若發(fā)亮的LED運(yùn)動(dòng)到對(duì)方的終點(diǎn),但對(duì)方未能及時(shí)輸入信號(hào)使其向相反方向移動(dòng),即失去1分??刂齐娐窙Q定整個(gè)系統(tǒng)的協(xié)調(diào)動(dòng)作,必須嚴(yán)格掌握各信號(hào)之間的關(guān)系。設(shè)計(jì)思路用雙向移位寄存器的輸出端控制LED顯示來(lái)模擬乒乓球運(yùn)110EDA與電子技術(shù)課程設(shè)計(jì)課件111交通信號(hào)燈控制器交通信號(hào)燈控制器112任務(wù)與要求設(shè)計(jì)一個(gè)交通信號(hào)燈控制器,由一條主干道和一條支干道匯合成十字路口,在每個(gè)入口處設(shè)置紅、綠、黃三色信號(hào)燈,紅燈亮禁止通行,綠燈亮允許通行,黃燈亮則給行駛中的車輛有時(shí)間停在禁行線外。用紅、綠、黃發(fā)光二極管作信號(hào)燈,用傳感器或邏輯開關(guān)作檢測(cè)車輛是否到來(lái)的信號(hào)。主干道處于常允許通行的狀態(tài),支干道有車來(lái)時(shí)才允許通行。主干道亮綠燈時(shí),支干道亮紅燈;支干道亮綠燈時(shí),主干道亮紅燈。主、支干道均有車時(shí),兩者交替允許通行,主干道每次放行45秒,支干道每次放行25秒,設(shè)立45秒、25秒計(jì)時(shí)、顯示電路。在每次由綠燈亮到紅燈亮的轉(zhuǎn)換過(guò)程中,要亮5秒黃燈作為過(guò)渡,使行駛中的車輛有時(shí)間停到禁行線外,設(shè)立5秒計(jì)時(shí)、顯示電路。任務(wù)與要求設(shè)計(jì)一個(gè)交通信號(hào)燈控制器,由一條主干道和一條支干道113EDA與電子技術(shù)課程設(shè)計(jì)課件114EDA與電子技術(shù)課程設(shè)計(jì)課件115設(shè)計(jì)思路主、支干道用傳感器檢測(cè)車輛到來(lái)情況,實(shí)驗(yàn)電路用邏輯開關(guān)代替。45秒、25秒、5秒定時(shí)信號(hào)可用順計(jì)時(shí),也可用倒計(jì)時(shí),計(jì)時(shí)起始信號(hào)由主控電路給出,定時(shí)結(jié)束信號(hào)也輸入到主控電路,由主控電路啟、閉三色信號(hào)燈或啟動(dòng)另一計(jì)時(shí)電路。設(shè)計(jì)思路主、支干道用傳感器檢測(cè)車輛到來(lái)情況,實(shí)驗(yàn)電路用邏輯開116設(shè)計(jì)思路主控電路是核心,這是一個(gè)時(shí)序電路,其輸入信號(hào)為:(1)車輛檢測(cè)信號(hào)(A,B);(2)45秒、25秒、5秒定時(shí)信號(hào)(C,D,E)。其狀態(tài)表為:狀態(tài)主干道 支干道 時(shí)間 0 綠燈亮,允許通行 紅燈亮,禁止通行 45秒 1 黃燈亮,停車 紅燈亮,禁止通行 5秒 2 紅燈亮,禁止通行 綠燈亮,允許通行 25秒 3 紅燈亮,禁止通行 黃燈亮,停車 5秒 設(shè)計(jì)思路主控電路是核心,這是一個(gè)時(shí)序電路,其輸入信號(hào)為:117EDA與電子技術(shù)課程設(shè)計(jì)課件118具體設(shè)計(jì)時(shí)鐘產(chǎn)生電路產(chǎn)生1HZ系統(tǒng)時(shí)鐘。主控電路由兩個(gè)JK觸發(fā)器和邏輯門構(gòu)成,其輸出經(jīng)譯碼后,控制主干道三色燈R、G、Y和支干道三色燈r、g、y。三個(gè)定時(shí)器分別產(chǎn)生45秒、25秒、5秒定時(shí)信號(hào),電路都是計(jì)數(shù)器,并受主控電路和來(lái)自主、支干道車輛信號(hào)控制,每當(dāng)計(jì)滿所需時(shí)間,即向主控電路輸出“時(shí)間到”信號(hào),并使計(jì)數(shù)器清零。具體設(shè)計(jì)時(shí)鐘產(chǎn)生電路產(chǎn)生1HZ系統(tǒng)時(shí)鐘。119設(shè):A:主干道車輛情況,有車為“1”,無(wú)車為“0”B:支干道車輛情況,有車為“1”,無(wú)車為“0”C:45秒定時(shí)信號(hào),45秒定時(shí)已到為“1”,45秒定時(shí)未到為“0”D:25秒定時(shí)信號(hào),25秒定時(shí)已到為“1”,25秒定時(shí)未到為“0”E:5秒定時(shí)信號(hào),5秒定時(shí)已到為“1”,5秒定時(shí)未到為“0”設(shè):120

S0S1S2S3BAC=0BAC=1E=0E=1BAD=1BAD=0E=0E=1

121狀態(tài)編碼:S0=00S1=01S2=11S3=10若選JK觸發(fā)器,其輸出為Q2Q1則狀態(tài)表為:ABCDEQ2nQ1nQ2n+1Q1n+1x0xxx0000110xx000001xxx0001111xx0001xxxx00101xxxx1011111x0x111101xxx1111x0xxx1110xxxx01010xxxxx1000維持S045秒定時(shí)由S0——S1維持S1由S1——S2維持S2

由S2——S3

維持S3

由S3——S05秒定時(shí)25秒定時(shí)5秒定時(shí)狀態(tài)編碼:122從狀態(tài)表得狀態(tài)方程得觸發(fā)器驅(qū)動(dòng)方程:從狀態(tài)表得狀態(tài)方程12345S、25S、5S定時(shí)器CP脈沖驅(qū)動(dòng)方程

45S、25S、5S定時(shí)器CP脈沖驅(qū)動(dòng)方程

124則主控電路和各定時(shí)電路如圖1-5-3所示則主控電路和各定時(shí)電路如圖1-5-3所示125計(jì)數(shù)器驅(qū)動(dòng)脈沖如圖1-5-4所示

計(jì)數(shù)器驅(qū)動(dòng)脈沖如圖1-5-4所示126譯碼驅(qū)動(dòng)電路真值表

譯碼驅(qū)動(dòng)電路真值表127譯碼驅(qū)動(dòng)電路如圖1-5-5所示

譯碼驅(qū)動(dòng)電路如圖1-5-5所示128此例也可以完全由VerilogHDL文件完成

此例也可以完全由VerilogHDL文件完成129具有四種信號(hào)燈的交通燈控制器

設(shè)計(jì)一個(gè)具有四種信號(hào)燈的交通燈控制器。設(shè)計(jì)要求是:由一條主干道和一條支干道匯合成十字路口,在每個(gè)入口處設(shè)置紅、綠、黃、左拐允許四盞信號(hào)燈,紅燈亮禁止通行,綠燈亮允許通行,黃燈亮則給行駛中的車輛有時(shí)間停在禁行線外,左拐燈亮允許車輛向左拐彎。信號(hào)燈變換次序?yàn)椋褐髦Ц傻澜惶嬖试S通行,主干道每次放行40S,亮5S紅燈讓行駛中的車輛有時(shí)間停到禁行線外,左拐放行15秒,亮5S紅燈;支干道放行30S,亮5S黃燈,左拐放行15秒,亮5S紅燈……。各計(jì)時(shí)電路為倒計(jì)時(shí)顯示。具有四種信號(hào)燈的交通燈控制器設(shè)計(jì)一個(gè)具有四種信號(hào)燈的交通燈130系統(tǒng)框圖如圖4-7-1所示

系統(tǒng)框圖如圖4-7-1所示131EDA與電子技術(shù)課程設(shè)計(jì)課件132彩燈控制器彩燈控制器133任務(wù)與要求設(shè)計(jì)一個(gè)彩燈控制器,使彩燈(LED管)能連續(xù)發(fā)出四種以上不同的顯示形式;隨著彩燈顯示圖案的變化,發(fā)出不同的音響聲。任務(wù)與要求設(shè)計(jì)一個(gè)彩燈控制器,使彩燈(LED管)能連續(xù)發(fā)出四134總體設(shè)計(jì)方案彩燈顯示的不同形式可由不同進(jìn)制計(jì)數(shù)器驅(qū)動(dòng)LED顯示完成;音響由不同頻率CP脈沖驅(qū)動(dòng)揚(yáng)聲器形成。總體設(shè)計(jì)方案彩燈顯示的不同形式可由不同進(jìn)制計(jì)數(shù)器驅(qū)動(dòng)LED顯135簡(jiǎn)易電子琴簡(jiǎn)易電子琴136任務(wù)與要求設(shè)計(jì)一個(gè)簡(jiǎn)易電子琴;利用實(shí)驗(yàn)箱的脈沖源產(chǎn)生1,2,3,。。。共7個(gè)或14個(gè)音階信號(hào);用指示燈顯示節(jié)拍;*能產(chǎn)生顫音效果;任務(wù)與要求設(shè)計(jì)一個(gè)簡(jiǎn)易電子琴;137出租車自動(dòng)計(jì)費(fèi)器出租車自動(dòng)計(jì)費(fèi)器138任務(wù)與要求設(shè)計(jì)一個(gè)出租車自動(dòng)計(jì)費(fèi)器,具有行車?yán)锍逃?jì)費(fèi)、等候時(shí)間計(jì)費(fèi)、及起價(jià)三部分,用四位數(shù)碼管顯示總金額,最大值為99。99元;行車?yán)锍虇蝺r(jià)1元/公里,等候時(shí)間單價(jià)0。5元/10分鐘,起價(jià)3元(3公里起價(jià))均能通過(guò)人工輸入。任務(wù)與要求設(shè)計(jì)一個(gè)出租車自動(dòng)計(jì)費(fèi)器,具有行車?yán)锍逃?jì)費(fèi)、等候時(shí)139總體設(shè)計(jì)方案行車?yán)锍痰挠?jì)費(fèi)電路將汽車行駛的里程數(shù)轉(zhuǎn)換成與之成正比的脈沖數(shù),然后由計(jì)數(shù)譯碼電路轉(zhuǎn)換成收費(fèi)金額,實(shí)驗(yàn)中以一個(gè)脈沖模擬汽車前進(jìn)十米,則每100個(gè)脈沖表示1公里,然后用BCD碼比例乘法器將里程脈沖乘以每公里單價(jià)的比例系數(shù),比例系數(shù)可由開關(guān)預(yù)置。例如單價(jià)是1。0元/公里,則脈沖當(dāng)量為0。01元/脈沖。用LED顯示行駛公里數(shù),兩個(gè)數(shù)碼管顯示收費(fèi)金額??傮w設(shè)計(jì)方案行車?yán)锍痰挠?jì)費(fèi)電路將汽車行駛的里程數(shù)轉(zhuǎn)換成與之成140總體設(shè)計(jì)方案等候時(shí)間計(jì)費(fèi)需將等候時(shí)間轉(zhuǎn)換成脈沖個(gè)數(shù),用每個(gè)脈沖表示的金額與脈沖數(shù)相乘即得計(jì)費(fèi)數(shù),例如100個(gè)脈沖表示10分鐘,而10分鐘收費(fèi)0。5元,則脈沖當(dāng)量為0。05元/脈沖,如果將脈沖當(dāng)量設(shè)置成與行車?yán)锍逃?jì)費(fèi)相同(0。01元/脈沖),則10分鐘內(nèi)的脈沖數(shù)應(yīng)為500個(gè)。用LED顯示等候時(shí)間,兩個(gè)數(shù)碼管表示等候時(shí)間收費(fèi)金額??傮w設(shè)計(jì)方案等候時(shí)間計(jì)費(fèi)需將等候時(shí)間轉(zhuǎn)換成脈沖個(gè)數(shù),用每個(gè)脈141總體設(shè)計(jì)方案用加法器將幾項(xiàng)收費(fèi)相加,P=P1+P2+P3,P1為起價(jià),P2為行車?yán)锍逃?jì)費(fèi),P3為等候時(shí)間計(jì)費(fèi),用兩個(gè)數(shù)碼管表示結(jié)果。總體設(shè)計(jì)方案用加法器將幾項(xiàng)收費(fèi)相加,P=P1+P2+P3,142EDA與電子技術(shù)課程設(shè)計(jì)教材:EDA與數(shù)字系統(tǒng)設(shè)計(jì)李國(guó)麗朱維勇欒銘主編EDA與電子技術(shù)課程設(shè)計(jì)教材:EDA與數(shù)字系統(tǒng)設(shè)計(jì)143緒論門電路、觸發(fā)器等稱為邏輯器件;由邏輯器件構(gòu)成,能執(zhí)行某單一功能的電路,如計(jì)數(shù)器、譯碼器、加法器等,稱為邏輯功能部件;由邏輯功能部件組成的能實(shí)現(xiàn)復(fù)雜功能的數(shù)字電路稱數(shù)字系統(tǒng)。緒論門電路、觸發(fā)器等稱為邏輯器件;144圖0-1數(shù)字系統(tǒng)框圖圖0-1數(shù)字系統(tǒng)框圖145數(shù)字系統(tǒng)設(shè)計(jì)方法簡(jiǎn)介

明確設(shè)計(jì)要求,確定系統(tǒng)的輸入/輸出;確定整體設(shè)計(jì)方案;自頂向下(top-down)的模塊化設(shè)計(jì)方法。數(shù)字系統(tǒng)設(shè)計(jì)方法簡(jiǎn)介明確設(shè)計(jì)要求,確定系統(tǒng)的輸入/輸出146數(shù)字系統(tǒng)的設(shè)計(jì)層次選用通用集成電路芯片構(gòu)成數(shù)字系統(tǒng);應(yīng)用可編程邏輯器件實(shí)現(xiàn)數(shù)字系統(tǒng);設(shè)計(jì)專用集成電路(單片系統(tǒng))。數(shù)字系統(tǒng)的設(shè)計(jì)層次選用通用集成電路芯片構(gòu)成數(shù)字系統(tǒng);147應(yīng)用可編程邏輯器件(ProgrammableLogicDevicePLD)實(shí)現(xiàn)數(shù)字系統(tǒng)設(shè)計(jì),是目前利用EDA(ElectronicDesignAutomation)技術(shù)設(shè)計(jì)數(shù)字系統(tǒng)的潮流。這種設(shè)計(jì)方法以數(shù)字系統(tǒng)設(shè)計(jì)軟件為工具,將傳統(tǒng)數(shù)字系統(tǒng)設(shè)計(jì)中的搭建調(diào)試用軟件仿真取代,對(duì)計(jì)算機(jī)上建立的系統(tǒng)模型,用測(cè)試碼或測(cè)

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論