第一章數(shù)字邏輯基礎(chǔ)課件_第1頁
第一章數(shù)字邏輯基礎(chǔ)課件_第2頁
第一章數(shù)字邏輯基礎(chǔ)課件_第3頁
第一章數(shù)字邏輯基礎(chǔ)課件_第4頁
第一章數(shù)字邏輯基礎(chǔ)課件_第5頁
已閱讀5頁,還剩165頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

授課教師--鞠艷杰同學(xué)們好!授課教師--鞠艷杰課程性質(zhì)電類專業(yè)三大技術(shù)基礎(chǔ)課之一!

電路理論、模擬電子線路、脈沖與數(shù)字電路64學(xué)時考試課考研專業(yè)課之一●

數(shù)字電子技術(shù)基礎(chǔ)是電專業(yè)人才必備的理論與技術(shù)基礎(chǔ)

數(shù)字電子技術(shù)是高級應(yīng)用型人才所具有的基本職業(yè)素質(zhì)課程性質(zhì)電類專業(yè)三大技術(shù)基礎(chǔ)課之一!課程目標(biāo)獲得適應(yīng)信息時代的數(shù)字電子技術(shù)方面的基本理論、基本知識和基本技能。培養(yǎng)分析和解決實際問題的能力,為以后深入學(xué)習(xí)數(shù)字電子技術(shù)及其相關(guān)學(xué)科和專業(yè)打好以下兩方面的基礎(chǔ):正確分析、設(shè)計數(shù)字電路,特別是集成電路的基礎(chǔ);為進(jìn)一步學(xué)習(xí)設(shè)計專用集成電路(ASIC)的基礎(chǔ)。課程目標(biāo)獲得適應(yīng)信息時代的數(shù)字電子技術(shù)方面的基本理論、基本知數(shù)字信號傳輸、變換、產(chǎn)生等。內(nèi)容涉及相關(guān)器件、功能電路及系統(tǒng)。

硬件處理數(shù)字信號的電子電路及其邏輯功能數(shù)字電路的分析方法數(shù)字電路的設(shè)計方法各種典型器件在電子系統(tǒng)中的應(yīng)用軟件系統(tǒng)分析、設(shè)計的軟件工具——ABEL、VHDL、

VerilogHDL、EDA工具軟件QuartusII等課程研究內(nèi)容數(shù)字信號傳輸、變換、產(chǎn)生等。內(nèi)容涉及相關(guān)器件、功能電路及系統(tǒng)a、發(fā)展快b、應(yīng)用廣學(xué)習(xí)方法打好基礎(chǔ)、關(guān)注發(fā)展、主動更新、注重實踐課程特點摩爾定律:集成度按10倍/6年的速度發(fā)展。c、工程實踐性強(qiáng)課程特點與學(xué)習(xí)方法掌握基本概念、基本電路和基本分析、設(shè)計方法能獨立的應(yīng)用所學(xué)的知識去分析和解決數(shù)字電路的實際問題的能力。a、發(fā)展快b、應(yīng)用廣學(xué)習(xí)方法打好基礎(chǔ)、關(guān)注發(fā)展、主動更新、注教學(xué)方法與安排教材《數(shù)字電子技術(shù)基礎(chǔ)》康華光主編高等教育出版社2006.1第五版教學(xué)方法通過教、學(xué)、做相結(jié)合的教學(xué)模式,從應(yīng)知、應(yīng)會兩個方面進(jìn)行教學(xué),在內(nèi)容的安排上采用雙循環(huán)的知識結(jié)構(gòu)教學(xué)進(jìn)度十六周,64學(xué)時,九章的教學(xué)內(nèi)容。教學(xué)方法與安排教材《數(shù)字電子技術(shù)基礎(chǔ)》康華光主教學(xué)要求與考核基本要求本課程要求理論講授與操作實踐緊密結(jié)合。通過本課程的學(xué)習(xí),要求學(xué)生在數(shù)字電子技術(shù)的理論、技能和素質(zhì)方面達(dá)到教材的基本要求。

考核理論考試(期末筆試)占80%,平時成績占20%。平時成績:出勤、課堂紀(jì)律、聽課態(tài)度、課堂問答、作業(yè)完成情況,綜合評定。教學(xué)要求與考核基本要求本課程要求理論講授與操作實踐緊密結(jié)合。電子技術(shù)基礎(chǔ)

數(shù)字部分(第五版)康華光主編電子技術(shù)基礎(chǔ)

數(shù)字部分(第五版)康華光主編電子技術(shù)基礎(chǔ)數(shù)字部分(第五版)第一章數(shù)字邏輯概論第二章邏輯代數(shù)與硬件描述語言基礎(chǔ)第三章邏輯門電路第四章常用組合邏輯電路第五章鎖存器和觸發(fā)器第六章時序邏輯電路第七章存儲器、復(fù)雜可編程器件和現(xiàn)場可編程門陣列第八章脈沖波形的產(chǎn)生與變換第九章數(shù)模與模數(shù)轉(zhuǎn)換器電子技術(shù)基礎(chǔ)數(shù)字部分(第五版)第一章數(shù)字邏輯概論第一章數(shù)字邏輯概論第一章數(shù)字邏輯概論第一章數(shù)字邏輯概論1.1數(shù)字電路與數(shù)字信號1.2數(shù)制1.3二進(jìn)制數(shù)的算數(shù)運算1.4二進(jìn)制代碼1.5二值邏輯變量與基本邏輯運算1.6邏輯函數(shù)及其表示方法第一章數(shù)字邏輯概論1.1數(shù)字電路與數(shù)字信號1.1.1數(shù)字技術(shù)的發(fā)展及其應(yīng)用1.1.3模擬信號與數(shù)字信號1.1.4數(shù)字信號的描述方法1.1數(shù)字電路與數(shù)字信號1.1.2數(shù)字集成電路的分類及特點1.1.1數(shù)字技術(shù)的發(fā)展及其應(yīng)用1.1.3模擬信號與數(shù)字信1.1.1數(shù)字技術(shù)的發(fā)展及其應(yīng)用60-70年代,IC技術(shù)迅速發(fā)展小規(guī)模中規(guī)模大規(guī)模超大規(guī)模(SSI)(MSI)(LSI)(VLSI)10萬個晶體管/片。70年代末,微處理器的出現(xiàn),使數(shù)字電子的性能產(chǎn)生了質(zhì)的飛躍

80年代后-ULSI,10億個晶體管/片、ASIC制作技術(shù)成熟

90年代后-97年一片集成電路上有40億個晶體管。目前--芯片內(nèi)部的布線細(xì)微到亞微米(0.13~0.09m)量級;微處理器的時鐘頻率高達(dá)3GHz(109Hz)將來-高分子材料或生物材料制成密度更高、三維結(jié)構(gòu)的電路目前較先進(jìn)的器件:可編程邏輯器件(PLD)微處理器(CPU)數(shù)字信號處理器(DSP)電子管晶體管集成電路發(fā)展特點:以電子器件的發(fā)展為基礎(chǔ)1.1.1數(shù)字技術(shù)的發(fā)展及其應(yīng)用60-70年代,IC技術(shù)迅電子管時代1906年,福雷斯特等發(fā)明了電子管;電子管體積大、重量重、耗電大、壽命短。目前在一些大功率發(fā)射裝置中使用。電壓控制器件電真空技術(shù)電子管時代1906年,福雷斯特等發(fā)明了電子管;電子管體積大、1.1.1數(shù)字技術(shù)的發(fā)展及其應(yīng)用電子管晶體管集成電路發(fā)展特點:以電子器件的發(fā)展為基礎(chǔ)1.1.1數(shù)字技術(shù)的發(fā)展及其應(yīng)用電子管晶體管集成電路發(fā)展特晶體管時代電流控制器件半導(dǎo)體技術(shù)半導(dǎo)體二極管、三極管器件晶體管時代電流控制器件半導(dǎo)體二極管、三極管器件1.1.1數(shù)字技術(shù)的發(fā)展及其應(yīng)用電子管晶體管集成電路發(fā)展特點:以電子器件的發(fā)展為基礎(chǔ)1.1.1數(shù)字技術(shù)的發(fā)展及其應(yīng)用電子管晶體管集成電路發(fā)展特半導(dǎo)體集成電路半導(dǎo)體集成電路1.1.1數(shù)字技術(shù)的發(fā)展及其應(yīng)用60-70年代,IC技術(shù)迅速發(fā)展小規(guī)模中規(guī)模大規(guī)模超大規(guī)模(SSI)(MSI)(LSI)(VLSI)10萬個晶體管/片。70年代末,微處理器的出現(xiàn),使數(shù)字電子的性能產(chǎn)生了質(zhì)的飛躍

80年代后-ULSI,10億個晶體管/片、ASIC制作技術(shù)成熟

90年代后-97年一片集成電路上有40億個晶體管。目前--芯片內(nèi)部的布線細(xì)微到亞微米(0.13~0.09m)量級;微處理器的時鐘頻率高達(dá)3GHz(109Hz)將來-高分子材料或生物材料制成密度更高、三維結(jié)構(gòu)的電路目前較先進(jìn)的器件:可編程邏輯器件(PLD)微處理器(CPU)數(shù)字信號處理器(DSP)電子管晶體管集成電路發(fā)展特點:以電子器件的發(fā)展為基礎(chǔ)1.1.1數(shù)字技術(shù)的發(fā)展及其應(yīng)用60-70年代,IC技術(shù)迅1.2數(shù)字電路的應(yīng)用模擬量的數(shù)字表示:模擬量可以用數(shù)字0、1的編碼來表示。傳感器放大器模\數(shù)轉(zhuǎn)換器數(shù)\模轉(zhuǎn)換器執(zhí)行機(jī)構(gòu)功率放大數(shù)字電路(CPU)一般測控系統(tǒng)框圖1.2數(shù)字電路的應(yīng)用模擬量的數(shù)字表示:模擬量可以用數(shù)字0、1數(shù)碼相機(jī)智能儀器計算機(jī)數(shù)字技術(shù)的應(yīng)用數(shù)碼相機(jī)智能儀器計算機(jī)數(shù)字技術(shù)的應(yīng)用根據(jù)電路的結(jié)構(gòu)特點及其對輸入信號的響應(yīng)規(guī)則的不同,

--數(shù)字電路可分為組合邏輯電路和時序邏輯電路。從集成度不同

--數(shù)字集成電路可分為小規(guī)模、中規(guī)模、大規(guī)模、超大規(guī)模和甚大規(guī)模五類。從電路的形式不同,

--數(shù)字電路可分為集成電路和分立電路從器件不同

--數(shù)字電路可分為TTL和CMOS電路1、數(shù)字集成電路的分類1.1.2、數(shù)字集成電路的分類及特點根據(jù)電路的結(jié)構(gòu)特點及其對輸入信號的響應(yīng)規(guī)則的不同,從集成度不可編程邏輯器件、多功能專用集成電路106以上甚大規(guī)模大型存儲器、微處理器10,000~99,999超大規(guī)模小型存儲器、門陣列100~9999大規(guī)模計數(shù)器、加法器12~99中規(guī)模邏輯門、觸發(fā)器最多12個小規(guī)模典型集成電路門的個數(shù)分類集成度:每一芯片所包含的門個數(shù)1.1.2、數(shù)字集成電路的分類及特點可編程邏輯器件、多功能專用集成電路106以上甚大規(guī)模大型存儲2、數(shù)字集成電路的特點1)電路簡單,便于大規(guī)模集成,批量生產(chǎn)2)可靠性、穩(wěn)定性和精度高,抗干擾能力強(qiáng)3)體積小,通用性好,成本低.4)具可編程性,可實現(xiàn)硬件設(shè)計軟件化5)高速度低功耗6)加密性好1.1.2、數(shù)字集成電路的分類及特點2、數(shù)字集成電路的特點1)電路簡單,便于大規(guī)模集成,批量生產(chǎn)3、數(shù)字電路的分析、設(shè)計與測試(1)數(shù)字電路的分析方法數(shù)字電路的分析:根據(jù)電路確定電路輸出與輸入之間的邏輯關(guān)系。(2)

數(shù)字電路的設(shè)計方法數(shù)字電路的設(shè)計:從給定的邏輯功能要求出發(fā),選擇適當(dāng)?shù)倪壿嬈骷?,設(shè)計出符合要求的邏輯電路。設(shè)計方式:分為傳統(tǒng)的設(shè)計方式和基于EDA軟件的設(shè)計方式。

分析工具:邏輯代數(shù)。電路邏輯功能主要用真值表、功能表、邏輯表達(dá)式和波形圖。1.1.2、數(shù)字集成電路的分類及特點3、數(shù)字電路的分析、設(shè)計與測試(1)數(shù)字電路的分析方法數(shù)字電電路設(shè)計方法伴隨器件變化從傳統(tǒng)走向現(xiàn)代傳統(tǒng)的設(shè)計方法:現(xiàn)代的設(shè)計方法:采用自下而上的設(shè)計方法;由人工組裝,經(jīng)反復(fù)調(diào)試、驗證、修改完成。所用的元器件較多,電路可靠性差,設(shè)計周期長?,F(xiàn)代EDA技術(shù)實現(xiàn)硬件設(shè)計軟件化。采用從上到下設(shè)計方法,電路設(shè)計、分析、仿真、修訂全通過計算機(jī)完成。1.1.2、數(shù)字集成電路的分類及特點電路設(shè)計方法伴隨器件變化從傳統(tǒng)走向現(xiàn)代傳統(tǒng)的設(shè)計方法:現(xiàn)代的EDA技術(shù)以計算機(jī)為基本工具、借助于軟件設(shè)計平臺,自動完成數(shù)字系統(tǒng)的仿真、邏輯綜合、布局布線等工作。最后下載到芯片,實現(xiàn)系統(tǒng)功能。使硬件設(shè)計軟件化。1、設(shè)計:在計算機(jī)上利用軟件平臺進(jìn)行設(shè)計原理圖設(shè)計VerilogHDL語言設(shè)計狀態(tài)機(jī)設(shè)計設(shè)計方法EDA(ElectronicsDesignAutomation)技術(shù)EDA技術(shù)以計算機(jī)為基本工具、借助于軟件設(shè)計平臺,自動完成數(shù)3、下載2、仿真4、驗證結(jié)果實驗板下載線EDA(ElectronicsDesignAutomation)技術(shù)3、下載2、仿真4、驗證結(jié)果實驗板下載線EDA(Electr1.1.3、模擬信號和數(shù)字信號電子電路中的信號模擬信號數(shù)字信號時間、數(shù)值連續(xù)的物理量(電信號)時間和數(shù)值離散的物理量(電信號)1.1.3、模擬信號和數(shù)字信號電子電路中的信號模擬信號數(shù)字信1.1.3模擬信號表示信號的物理參量之一是信號的強(qiáng)度隨時間變化的特性,即信號的時域特性,具體到電子系統(tǒng)中所采用的信號則是電壓或電流的時間特性模擬信號的特點是信號參量的取值隨連續(xù)時間的變化而保持其連續(xù)性,模擬信號的特性一般如圖1-1-1所示。通常把工作在模擬信號下的電子電路稱為模擬電路。tu圖1-1-1模擬信號波形1.1.3模擬信號表示信號的物理參量之一是信號的強(qiáng)度隨時間變1.1.3模擬信號tu正弦波信號t鋸齒波信號研究模擬電路時,注重電路輸入、輸出信號間的大小、相位關(guān)系。包括交直流放大器、濾波器、信號發(fā)生器等。在模擬電路中,晶體管一般工作在放大狀態(tài)。圖1-1-1模擬信號波形1.1.3模擬信號tu正弦波信號t鋸齒波信號研究模擬電路時1.1.3數(shù)字信號一、數(shù)字信號的特點數(shù)字信號在時間上和數(shù)值上均是離散的。(其強(qiáng)度的取值是有限個數(shù))數(shù)字信號在電路中常表現(xiàn)為突變的電壓或電流。圖1-1-2所示為數(shù)字信號。

圖1.1.2典型的數(shù)字信號Vt(V)50103050(ms)20401.1.3數(shù)字信號一、數(shù)字信號的特點Vt(V)5010301.1.3模擬信號的數(shù)字表示由于數(shù)字信號便于存儲、分析和傳輸,通常都將模擬信號轉(zhuǎn)換為數(shù)字信號.

0

0

模擬信號

模數(shù)轉(zhuǎn)換器

3

V

數(shù)字輸出

0

0

0

0

1

1

模數(shù)轉(zhuǎn)換的實現(xiàn)1.1.3模擬信號的數(shù)字表示由于數(shù)字信號便于存儲、分析和傳1.1.4數(shù)字信號的描述方法二值數(shù)字邏輯和邏輯電平數(shù)字信號是一種二值信號,用兩個電平(高電平和低電平)分別來表示兩個邏輯值(邏輯1和邏輯0)。二值數(shù)字邏輯:0、1數(shù)碼---表示數(shù)量時稱二進(jìn)制數(shù);

---表示事物狀態(tài)時稱二值邏輯有兩種邏輯體制:

正邏輯體制規(guī)定:高電平為邏輯1,低電平為邏輯0。

負(fù)邏輯體制規(guī)定:低電平為邏輯1,高電平為邏輯0。電壓(V)二值邏輯電平+51H(高電平)00L(低電平)邏輯電平與電壓值的關(guān)系(正邏輯)1.1.4數(shù)字信號的描述方法二值數(shù)字邏輯和邏輯電平電壓(V(a)用邏輯電平描述的數(shù)字波形(b)16位數(shù)據(jù)的圖形表示數(shù)字波形------是信號邏輯電平對時間的圖形表示.1.1.4數(shù)字信號的描述方法(a)用邏輯電平描述的數(shù)字波形(b)16位數(shù)據(jù)的圖形表示高電平低電平有脈沖*非歸零型*歸零型比特率--------每秒鐘轉(zhuǎn)輸數(shù)據(jù)的位數(shù)無脈沖數(shù)字波形的兩種類型:1.1.4數(shù)字信號的描述方法-數(shù)字波形高電平低電平有脈沖*非歸零型*歸零型比特率-------周期性和非周期性

非周期性數(shù)字波形周期性數(shù)字波形

1.1.4數(shù)字信號的描述方法-數(shù)字波形周期性和非周期性非周期性數(shù)字波形周期性數(shù)字波形 1.1.數(shù)字信號的主要參數(shù)一個周期性數(shù)字信號,可用以下幾個參數(shù)來描繪:

Vm——信號幅度。

T——信號的重復(fù)周期。表示兩個相鄰脈沖之間的時間間隔

tW——脈沖寬度。脈沖幅值的50%的兩個時間所跨越的時間

q——占空比。其定義為:

1.1.4數(shù)字信號的描述方法-數(shù)字波形數(shù)字信號的主要參數(shù)一個周期性數(shù)字信號,可用以下幾個參數(shù)來描繪數(shù)字信號的主要參數(shù)上升時間tr——從脈沖幅值的10%上升到90%所需的時間。下降時間tf——從脈沖幅值的90%下降到10%所需的時間。脈沖寬度tw

——脈沖幅值的50%的兩個時間點所跨越的時間。1.1.4數(shù)字信號的描述方法-數(shù)字波形數(shù)字信號的主要參數(shù)1.1.4數(shù)字信號的描述方法-數(shù)字波形例1.1.1

某通信系統(tǒng)每秒鐘傳輸1544000位(1.544兆位)數(shù)據(jù),求每位數(shù)據(jù)的時間。解:按題意,每位數(shù)據(jù)的時間為1.1.4數(shù)字信號的描述方法-數(shù)字波形例1.1.1某通信系統(tǒng)每秒鐘傳輸1544000位(1.5例1.1.2設(shè)周期性數(shù)字波形的高電平持續(xù)6ms,低電平持續(xù)10ms,求占空比q。解:因數(shù)字波形的脈沖寬度tw=6ms,周期T=6ms+10ms=16ms。1.1.4數(shù)字信號的描述方法-數(shù)字波形例1.1.2設(shè)周期性數(shù)字波形的高電平持續(xù)6ms,低電平持非理想脈沖波形1.1.4數(shù)字信號的描述方法-數(shù)字波形非理想脈沖波形1.1.4數(shù)字信號的描述方法-數(shù)字波形下圖所示為三個周期相同(T=20ms),但幅度、脈沖寬度及占空比各不相同的數(shù)字信號。下圖所示為三個周期相同(T=20ms),但幅1.1.4數(shù)字信號的描述方法數(shù)字波形------是信號邏輯電平對時間的圖形表示.

二值位形圖:二值數(shù)據(jù)的數(shù)字波形。時序圖:表明相互時間關(guān)系的多重數(shù)字波形圖。1.1.4數(shù)字信號的描述方法數(shù)字波形------是信號邏輯模擬信號與數(shù)字信號比較表項目模擬信號(Analog)數(shù)字信號(Digital)特點連續(xù)離散

波形數(shù)學(xué)十進(jìn)制二進(jìn)制電平數(shù)無窮多個有限個典型溫度、壓力等數(shù)字系統(tǒng)的信號模擬信號與數(shù)字信號比較表項目模擬信號(Analog)數(shù)字信號數(shù)字電路通常把工作在數(shù)字信號下的電子電路稱為數(shù)字電路。數(shù)字電路的特點:數(shù)字電路的輸入、輸出都是數(shù)字信號。在數(shù)字電路中,晶體管工作在開關(guān)狀態(tài),即工作在飽和與截止?fàn)顟B(tài)。數(shù)字電路抗干擾能力強(qiáng)。三極管飽和導(dǎo)通用高電平“1”表示,三極管截止用低電平“0”表示,而且我們只關(guān)心信號的“有”和“無”,電平的“高”和“低”,而不去理會其具體的精確數(shù)值。數(shù)字電路通常把工作在數(shù)字信號下的電子電路稱為數(shù)字電路。三極管1.2數(shù)制數(shù)制:是構(gòu)成多位數(shù)碼中每一位的方法和由低位向高位的進(jìn)位規(guī)則,它也是人們在日常生活和科學(xué)研究中采用的計數(shù)方法。幾種常用的計數(shù)體制

1.十進(jìn)制(Decimal)

2.二進(jìn)制(Binary)

3.十六進(jìn)制(Hexadecimal)

4.八進(jìn)制(Octal)1.2數(shù)制數(shù)制:是構(gòu)成多位數(shù)碼中每一位的方法和由低位向高位(1)進(jìn)位制:表示數(shù)時,僅用一位數(shù)碼往往不夠用,必須用進(jìn)位計數(shù)的方法組成多位數(shù)碼。多位數(shù)碼每一位的構(gòu)成以及從低位到高位的進(jìn)位規(guī)則稱為進(jìn)位計數(shù)制,簡稱進(jìn)位制。1.2數(shù)制(2)基數(shù):進(jìn)位制的基數(shù),就是在該進(jìn)位制中可能用到的數(shù)碼個數(shù)。(3)位權(quán)(位的權(quán)數(shù)):在某一進(jìn)位制的數(shù)中,每一位的大小都對應(yīng)著該位上的數(shù)碼乘上一個固定的數(shù),這個固定的數(shù)就是這一位的權(quán)數(shù)。權(quán)數(shù)是一個冪。(1)進(jìn)位制:表示數(shù)時,僅用一位數(shù)碼往往不夠用,必須用進(jìn)位計數(shù)碼為:0~9;基數(shù)是10。運算規(guī)律:逢十進(jìn)一,即:9+1=10。十進(jìn)制數(shù)的權(quán)展開式:1.2.1十進(jìn)制55555×103=50005×102=5005×101=505×100=5=5555103、102、101、100稱為十進(jìn)制的權(quán)。各數(shù)位的權(quán)是10的冪。同樣的數(shù)碼在不同的數(shù)位上代表的數(shù)值不同。+任意一個十進(jìn)制數(shù)都可以表示為各個數(shù)位上的數(shù)碼與其對應(yīng)的權(quán)的乘積之和,稱權(quán)展開式。即:(5555)D=5×103

+5×102+5×101+5×100又如:(20.04)D=2×101

+0×100+0×10-1+4×10-2數(shù)碼為:0~9;基數(shù)是10。1.2.1十進(jìn)制55555×11.2.2二進(jìn)制數(shù)碼為:0、1;基數(shù)是2。運算規(guī)律:逢二進(jìn)一,即:1+1=10。二進(jìn)制數(shù)的權(quán)展開式:如:(101.01)B=1×22

+0×21+1×20+0×2-1+1×2-2

=(5.25)D加法規(guī)則:0+0=0,0+1=1,1+0=1,1+1=10乘法規(guī)則:0.0=0,0.1=0,1.0=0,1.1=1運算規(guī)則各數(shù)位的權(quán)是2的冪1.2.2二進(jìn)制數(shù)碼為:0、1;基數(shù)是2。加法規(guī)則:0+(1)易于電路表達(dá)---0、1兩個值,可以用管子的導(dǎo)通或截止,燈泡的亮或滅、繼電器觸點的閉合或斷開來表示。二進(jìn)制的優(yōu)點(2)二進(jìn)制數(shù)字裝置所用元件少,電路簡單、可靠。(3)基本運算規(guī)則簡單,運算操作方便。

iD/mA

O

v

DS

/VVGS1

VGS2

VGS3

VGS4

飽和區(qū)

可變電阻區(qū)

截止區(qū)

vO

Rd

VDD

vI

1.2.2二進(jìn)制(1)易于電路表達(dá)---0、1兩個值,可以用管子的導(dǎo)通或截二進(jìn)制數(shù)波形表示1.2.2二進(jìn)制二進(jìn)制數(shù)波形表示1.2.2二進(jìn)制(1)二進(jìn)制數(shù)據(jù)的串行傳輸

二進(jìn)制數(shù)據(jù)的傳輸1.2.2二進(jìn)制(1)二進(jìn)制數(shù)據(jù)的串行傳輸二進(jìn)制數(shù)據(jù)的傳輸1.2.2二(2)二進(jìn)制數(shù)據(jù)的并行傳輸將一組二進(jìn)制數(shù)據(jù)所有位同時傳送。傳送速率快,但數(shù)據(jù)線較多,而且發(fā)送和接收設(shè)備較復(fù)雜。1.2.2二進(jìn)制(2)二進(jìn)制數(shù)據(jù)的并行傳輸將一組二進(jìn)制數(shù)據(jù)所有位同時傳數(shù)碼為:0~7;基數(shù)是8。運算規(guī)律:逢八進(jìn)一,即:7+1=10。八進(jìn)制數(shù)的權(quán)展開式:如:(207.04)O=2×82

+0×81+7×80+0×8-1+4×8-2=(135.0625)D1.2.4八進(jìn)制和十六進(jìn)制2、十六進(jìn)制數(shù)碼為:0~9、A~F;基數(shù)是16。運算規(guī)律:逢十六進(jìn)一,即:F+1=10。十六進(jìn)制數(shù)的權(quán)展開式:如:(D8.A)H=13×161

+8×160+10×16-1=(216.625)D各數(shù)位的權(quán)是8的冪各數(shù)位的權(quán)是16的冪1、八進(jìn)制數(shù)碼為:0~7;基數(shù)是8。1.2.4八進(jìn)制和十六進(jìn)制2、十結(jié)論①一般地,N進(jìn)制需要用到N個數(shù)碼,基數(shù)是N;運算規(guī)律為逢N進(jìn)一。②如果一個N進(jìn)制數(shù)M包含n位整數(shù)和m位小數(shù),即(an-1an-2…a1a0·a-1a-2…a-m)2則該數(shù)的權(quán)展開式為:(M)N

=an-1×Nn-1

an-2×Nn-2

+…+a1×N1+

a0×N0+a-1×N-1+a-2×N-2+…+a-m×N-m

③由權(quán)展開式很容易將一個N進(jìn)制數(shù)轉(zhuǎn)換為十進(jìn)制數(shù)。結(jié)論①一般地,N進(jìn)制需要用到N個數(shù)碼,基數(shù)是N;運算規(guī)律為逢第一章數(shù)字邏輯基礎(chǔ)課件1.2.3數(shù)制轉(zhuǎn)換(1)二進(jìn)制數(shù)轉(zhuǎn)換為八進(jìn)制數(shù):將二進(jìn)制數(shù)由小數(shù)點開始,整數(shù)部分向左,小數(shù)部分向右,每3位分成一組,不夠3位補(bǔ)零,則每組二進(jìn)制數(shù)便是一位八進(jìn)制數(shù)。將N進(jìn)制數(shù)按權(quán)展開,即可以轉(zhuǎn)換為十進(jìn)制數(shù)。1、二進(jìn)制數(shù)與八進(jìn)制數(shù)的相互轉(zhuǎn)換1101010.01000=(152.2)O(2)八進(jìn)制數(shù)轉(zhuǎn)換為二進(jìn)制數(shù):將每位八進(jìn)制數(shù)用3位二進(jìn)制數(shù)表示。

=011111100.010110(374.26)O1.2.3數(shù)制轉(zhuǎn)換(1)二進(jìn)制數(shù)轉(zhuǎn)換為八進(jìn)制數(shù):將二2、二進(jìn)制數(shù)與十六進(jìn)制數(shù)的相互轉(zhuǎn)換111010100.0110000=(1E8.6)H=101011110100.01110110(AF4.76)H

二進(jìn)制數(shù)與十六進(jìn)制數(shù)的相互轉(zhuǎn)換,按照每4位二進(jìn)制數(shù)對應(yīng)于一位十六進(jìn)制數(shù)進(jìn)行轉(zhuǎn)換。3、十進(jìn)制數(shù)轉(zhuǎn)換為二進(jìn)制數(shù)采用的方法—基數(shù)連除、連乘法原理:將整數(shù)部分和小數(shù)部分分別進(jìn)行轉(zhuǎn)換。整數(shù)部分采用基數(shù)連除法,小數(shù)部分采用基數(shù)連乘法。轉(zhuǎn)換后再合并。2、二進(jìn)制數(shù)與十六進(jìn)制數(shù)的相互轉(zhuǎn)換11101整數(shù)部分采用基數(shù)連除法,先得到的余數(shù)為低位,后得到的余數(shù)為高位。小數(shù)部分采用基數(shù)連乘法,先得到的整數(shù)為高位,后得到的整數(shù)為低位。所以:(44.375)10=(101100.011)2采用基數(shù)連除、連乘法,可將十進(jìn)制數(shù)轉(zhuǎn)換為任意的N進(jìn)制數(shù)。整數(shù)部分采用基數(shù)連除法,先得到的余數(shù)為低位,后得到的余數(shù)為高解:根據(jù)上述原理,可將(37)D按如下的步驟轉(zhuǎn)換為二進(jìn)制數(shù)由上得(37)D=(100101)B例1.2.2將十進(jìn)制數(shù)(37)D轉(zhuǎn)換為二進(jìn)制數(shù)。當(dāng)十進(jìn)制數(shù)較大時,有什么方法使轉(zhuǎn)換過程簡化?由上得(37)D=(100101)B例1.2.2將十解:由于27為128,而133-128=5=22+20,例1.2.3將(133)D轉(zhuǎn)換為二進(jìn)制數(shù)所以對應(yīng)二進(jìn)制數(shù)b7=1,b2=1,b0=1,其余各系數(shù)均為0,所以得(133)D=(10000101)B1.2.3數(shù)制轉(zhuǎn)換解:由于27為128,而133-128=5=22+20,例1十六進(jìn)制的優(yōu)點:

1、)與二進(jìn)制之間的轉(zhuǎn)換容易;

2、)計數(shù)容量較其它進(jìn)制都大。假如同樣采用四位數(shù)碼,二進(jìn)制最多可計至(1111)B=(15)D;八進(jìn)制可計至(7777)O=(2800)D;十進(jìn)制可計至(9999)D;十六進(jìn)制可計至(FFFF)H=(65535)D,即64K。其容量最大。

3、)書寫簡潔。十六進(jìn)制的優(yōu)點:1、)與二進(jìn)制之間的轉(zhuǎn)換容易;1.3二進(jìn)制的算術(shù)運算(自學(xué))

1.3.1無符號二進(jìn)制的數(shù)算術(shù)運算

1.3.2有符號二進(jìn)制的數(shù)算術(shù)運算1.3二進(jìn)制的算術(shù)運算(自學(xué))1.3.1無符號二進(jìn)制1、無符號二進(jìn)制的加法規(guī)則:

0+0=0,0+1=1,1+1=10。2、無符號二進(jìn)制數(shù)的減法規(guī)則:0-0=0,1-1=0,1-0=10-1=111.3.1無符號數(shù)算術(shù)運算3、乘法和除法乘法運算:由左移被乘數(shù)與加法運算組成乘法運算:由右移被除數(shù)與減法運算組成1、無符號二進(jìn)制的加法規(guī)則:2、無符號二進(jìn)制數(shù)的減法規(guī)則:11.3.2帶符號二進(jìn)制的減法運算二進(jìn)制數(shù)的最高位表示符號位,且用0表示正數(shù),用1表示負(fù)數(shù)。其余部分用原碼的形式表示數(shù)值位。有符號的二進(jìn)制數(shù)表示:1.二進(jìn)制數(shù)的補(bǔ)碼表示補(bǔ)碼或反碼的最高位為符號位,正數(shù)為0,負(fù)數(shù)為1。當(dāng)二進(jìn)制數(shù)為正數(shù)時,其補(bǔ)碼、反碼與原碼相同。當(dāng)二進(jìn)制數(shù)為負(fù)數(shù)時,將原碼的數(shù)值位逐位求反,然后在最低位加1得到補(bǔ)碼。(+11)D=(01011)B(11)D=(11011)B1.3.2帶符號二進(jìn)制的減法運算二進(jìn)制數(shù)的最高位表示符號位減法運算的原理:減去一個正數(shù)相當(dāng)于加上一個負(fù)數(shù)AB=A+(B),對(B)求補(bǔ)碼,然后進(jìn)行加法運算。2.二進(jìn)制補(bǔ)碼的減法運算例1.3.7

試用4位二進(jìn)制補(bǔ)碼計算52。自動丟棄解:因為(52)補(bǔ)=(5)補(bǔ)+(2)

補(bǔ)=0101+1110=0011所以52=3減法運算的原理:減去一個正數(shù)相當(dāng)于加上一個負(fù)數(shù)AB=A+(用一定位數(shù)的二進(jìn)制數(shù)來表示十進(jìn)制數(shù)碼、字母、符號等信息稱為編碼。用以表示十進(jìn)制數(shù)碼、字母、符號等信息的一定位數(shù)的二進(jìn)制數(shù)稱為代碼。1.4二進(jìn)制代碼數(shù)字系統(tǒng)只能識別0和1,怎樣才能表示更多的數(shù)碼、符號、字母呢?用編碼可以解決此問題。二-十進(jìn)制代碼:用4位二進(jìn)制數(shù)b3b2b1b0來表示十進(jìn)制數(shù)中的0~9十個數(shù)碼。簡稱BCD碼。

2421碼的權(quán)值依次為2、4、2、1;余3碼由8421碼加0011得到;格雷碼是一種循環(huán)碼,其特點是任何相鄰的兩個碼字,僅有一位代碼不同,其它位相同。用四位自然二進(jìn)制碼中的前十個碼字來表示十進(jìn)制數(shù)碼,因各位的權(quán)值依次為8、4、2、1,故稱8421BCD碼。用一定位數(shù)的二進(jìn)制數(shù)來表示十進(jìn)制數(shù)碼、字母、 在數(shù)字系統(tǒng)中,廣泛地采用二進(jìn)制計數(shù)制。主要原因是二進(jìn)制的每一位數(shù)只有兩種可能取值,即“0”或“1”,可以用具有兩個不同穩(wěn)定狀態(tài)的電子開關(guān)來表示,使數(shù)據(jù)的存儲和傳送用簡單而可靠的方式進(jìn)行。1.4二進(jìn)制碼1.4二進(jìn)制碼1.4二進(jìn)制碼 通常數(shù)字系統(tǒng)中所攜帶的信息分為兩類,一類是字符信息,另一類是數(shù)值信息。 在二進(jìn)制編碼中,采用結(jié)構(gòu)形式與二進(jìn)制數(shù)完全相同的自然二進(jìn)制碼是最簡單的編碼方式。1.4二進(jìn)制碼 通常數(shù)字系統(tǒng)中所攜帶的信息分為兩類,一1.4二進(jìn)制碼二-十進(jìn)制BCD碼一位十進(jìn)制數(shù)有0~9個不同的信息,必須至少使用4位二進(jìn)制數(shù)字。 8421編碼是靠取自然二進(jìn)制數(shù)的前10個數(shù)碼并付給等值的十進(jìn)制數(shù)字而獲得的,權(quán)值分別為23,22,21,1。1.4二進(jìn)制碼二-十進(jìn)制BCD碼第一章數(shù)字邏輯基礎(chǔ)課件 余3碼是在8421碼的基礎(chǔ)上把每個代碼都加(0011)2=(3)10而形成的。余3碼是一種自補(bǔ)碼,即表1-2-1中以虛線為中心0~4和9~5的代碼互為反碼。這種碼的優(yōu)點是求補(bǔ)方便,所以在計算機(jī)系統(tǒng)中得到廣泛的應(yīng)用。 用格雷碼作運算時,必須首先將它轉(zhuǎn)換成二進(jìn)制。 余3碼是在8421碼的基礎(chǔ)上把每個代碼都加(0011)2=§1-5邏輯代數(shù)基礎(chǔ)邏輯變量及基本邏輯運算邏輯函數(shù)及其表示方法

邏輯代數(shù)的運算公式和規(guī)則§1-5邏輯代數(shù)基礎(chǔ)邏輯變量及基本邏輯運算邏輯函數(shù)及其表邏輯變量及基本邏輯運算一、邏輯變量取值:邏輯0、邏輯1。邏輯0和邏輯1不代表數(shù)值大小,僅表示相互矛盾、相互對立的兩種邏輯狀態(tài)二、基本邏輯運算與運算或運算非運算返回邏輯變量及基本邏輯運算一、邏輯變量取值:邏輯0、邏輯1。邏輯邏輯表達(dá)式F=AB=AB與邏輯真值表與邏輯關(guān)系表與邏輯開關(guān)A開關(guān)B燈F斷斷斷合合斷合合滅滅滅亮ABF101101000010ABF邏輯符號只有決定某一事件的所有條件全部具備,這一事件才能發(fā)生與邏輯運算符,也有用“”、“∧”、“∩”、“&”表示邏輯表達(dá)式與邏輯真值表與邏輯關(guān)系表與邏輯開關(guān)A開關(guān)B燈F斷邏輯表達(dá)式F=A+B或邏輯真值表或邏輯ABF1邏輯符號只有決定某一事件的有一個或一個以上具備,這一事件才能發(fā)生ABF101101001110N個輸入:F=A+B+...+N或邏輯運算符,也有用“∨”、“∪”表示返回邏輯表達(dá)式或邏輯真值表或邏輯ABF1邏輯符號只有決定某一返回非邏輯當(dāng)決定某一事件的條件滿足時,事件不發(fā)生;反之事件發(fā)生,非邏輯真值表邏輯符號AF1AF0110邏輯表達(dá)式F=A“-”非邏輯運算符三、復(fù)合邏輯運算與非邏輯運算F1=AB或非邏輯運算F2=A+B與或非邏輯運算F3=AB+CD返回非邏輯當(dāng)決定某一事件的條件滿足時,事件不發(fā)生;反之事異或運算ABF101101001100邏輯表達(dá)式F=AB=AB+AB

ABF=1邏輯符號ABF101101000011同或運算邏輯表達(dá)式F=AB=AB

ABF=1邏輯符號“”異或邏輯運算符“⊙”同或邏輯運算符返回異或運算ABF101100V3V工作原理A、B中有一個或一個以上為低電平0V只有A、B全為高電平3V,二極管與門電路0V3V3V3VABF3V3V3V3V0V0V0V3V0V0V0V0V返回(四)正邏輯與負(fù)邏輯則輸出F就為低電平0V則輸出F才為高電平3V0V3V工作原理A、B中有一個或一個以上為低電平0VABFVLVLVLVLVHVL111ABF1001000000ABF01001011111VLVHVHVLVHVH電平關(guān)系正邏輯負(fù)邏輯正與=負(fù)或正或=負(fù)與正與非=負(fù)或非正或非=負(fù)與非正、負(fù)邏輯間關(guān)系邏輯符號等效在一種邏輯符號的所有入、出端同時加上或者去掉小圈,當(dāng)一根線上有兩個小圈,則無需畫圈原來的符號互換(與←→或、同或←→異或)高電平VH用邏輯1表示,低電平VL用邏輯0表示返回(四)正邏輯與負(fù)邏輯(與門)(或門)高電平VH用邏輯0表示,低電平VL用邏輯1表示ABFVLVLVLVLVHVL111邏輯函數(shù)及其表示方法一、邏輯函數(shù)用有限個與、或、非邏輯運算符,按某種邏輯關(guān)系將邏輯變量A、B、C、...連接起來,所得的表達(dá)式F=f(A、B、C、...)稱為邏輯函數(shù)。二、邏輯函數(shù)的表示方法真值表邏輯函數(shù)式

邏輯圖波形圖輸入變量不同取值組合與函數(shù)值間的對應(yīng)關(guān)系列成表格用邏輯符號來表示函數(shù)式的運算關(guān)系輸入變量輸出變量取值:邏輯0、邏輯1。邏輯0和邏輯1不代表數(shù)值大小,僅表示相互矛盾、相互對立的兩種邏輯態(tài)反映輸入和輸出波形變化的圖形又叫時序圖邏輯函數(shù)及其表示方法一、邏輯函數(shù)用有限個與、或、非邏輯運算符ABCF000001001011100110111011斷“0”合“1”亮“1”滅“0”C開,F(xiàn)滅0000C合,A、B中有一個合,F(xiàn)亮11C合,A、B均斷,F(xiàn)滅0邏輯函數(shù)式挑出函數(shù)值為1的項1101111101111每個函數(shù)值為1的輸入變量取值組合寫成一個乘積項這些乘積項作邏輯加輸入變量取值為1用原變量表示;反之,則用反變量表示ABC、ABC、ABCF=ABC+ABC+ABC返回ABCF000001001011100110111011斷“邏輯圖F=ABC+ABC+ABC乘積項用與門實現(xiàn),和項用或門實現(xiàn)波形圖010011001111返回邏輯圖F=ABC+ABC+ABC乘積項用與門實現(xiàn),和項用或第一章數(shù)字邏輯基礎(chǔ)課件授課教師--鞠艷杰同學(xué)們好!授課教師--鞠艷杰課程性質(zhì)電類專業(yè)三大技術(shù)基礎(chǔ)課之一!

電路理論、模擬電子線路、脈沖與數(shù)字電路64學(xué)時考試課考研專業(yè)課之一●

數(shù)字電子技術(shù)基礎(chǔ)是電專業(yè)人才必備的理論與技術(shù)基礎(chǔ)

數(shù)字電子技術(shù)是高級應(yīng)用型人才所具有的基本職業(yè)素質(zhì)課程性質(zhì)電類專業(yè)三大技術(shù)基礎(chǔ)課之一!課程目標(biāo)獲得適應(yīng)信息時代的數(shù)字電子技術(shù)方面的基本理論、基本知識和基本技能。培養(yǎng)分析和解決實際問題的能力,為以后深入學(xué)習(xí)數(shù)字電子技術(shù)及其相關(guān)學(xué)科和專業(yè)打好以下兩方面的基礎(chǔ):正確分析、設(shè)計數(shù)字電路,特別是集成電路的基礎(chǔ);為進(jìn)一步學(xué)習(xí)設(shè)計專用集成電路(ASIC)的基礎(chǔ)。課程目標(biāo)獲得適應(yīng)信息時代的數(shù)字電子技術(shù)方面的基本理論、基本知數(shù)字信號傳輸、變換、產(chǎn)生等。內(nèi)容涉及相關(guān)器件、功能電路及系統(tǒng)。

硬件處理數(shù)字信號的電子電路及其邏輯功能數(shù)字電路的分析方法數(shù)字電路的設(shè)計方法各種典型器件在電子系統(tǒng)中的應(yīng)用軟件系統(tǒng)分析、設(shè)計的軟件工具——ABEL、VHDL、

VerilogHDL、EDA工具軟件QuartusII等課程研究內(nèi)容數(shù)字信號傳輸、變換、產(chǎn)生等。內(nèi)容涉及相關(guān)器件、功能電路及系統(tǒng)a、發(fā)展快b、應(yīng)用廣學(xué)習(xí)方法打好基礎(chǔ)、關(guān)注發(fā)展、主動更新、注重實踐課程特點摩爾定律:集成度按10倍/6年的速度發(fā)展。c、工程實踐性強(qiáng)課程特點與學(xué)習(xí)方法掌握基本概念、基本電路和基本分析、設(shè)計方法能獨立的應(yīng)用所學(xué)的知識去分析和解決數(shù)字電路的實際問題的能力。a、發(fā)展快b、應(yīng)用廣學(xué)習(xí)方法打好基礎(chǔ)、關(guān)注發(fā)展、主動更新、注教學(xué)方法與安排教材《數(shù)字電子技術(shù)基礎(chǔ)》康華光主編高等教育出版社2006.1第五版教學(xué)方法通過教、學(xué)、做相結(jié)合的教學(xué)模式,從應(yīng)知、應(yīng)會兩個方面進(jìn)行教學(xué),在內(nèi)容的安排上采用雙循環(huán)的知識結(jié)構(gòu)教學(xué)進(jìn)度十六周,64學(xué)時,九章的教學(xué)內(nèi)容。教學(xué)方法與安排教材《數(shù)字電子技術(shù)基礎(chǔ)》康華光主教學(xué)要求與考核基本要求本課程要求理論講授與操作實踐緊密結(jié)合。通過本課程的學(xué)習(xí),要求學(xué)生在數(shù)字電子技術(shù)的理論、技能和素質(zhì)方面達(dá)到教材的基本要求。

考核理論考試(期末筆試)占80%,平時成績占20%。平時成績:出勤、課堂紀(jì)律、聽課態(tài)度、課堂問答、作業(yè)完成情況,綜合評定。教學(xué)要求與考核基本要求本課程要求理論講授與操作實踐緊密結(jié)合。電子技術(shù)基礎(chǔ)

數(shù)字部分(第五版)康華光主編電子技術(shù)基礎(chǔ)

數(shù)字部分(第五版)康華光主編電子技術(shù)基礎(chǔ)數(shù)字部分(第五版)第一章數(shù)字邏輯概論第二章邏輯代數(shù)與硬件描述語言基礎(chǔ)第三章邏輯門電路第四章常用組合邏輯電路第五章鎖存器和觸發(fā)器第六章時序邏輯電路第七章存儲器、復(fù)雜可編程器件和現(xiàn)場可編程門陣列第八章脈沖波形的產(chǎn)生與變換第九章數(shù)模與模數(shù)轉(zhuǎn)換器電子技術(shù)基礎(chǔ)數(shù)字部分(第五版)第一章數(shù)字邏輯概論第一章數(shù)字邏輯概論第一章數(shù)字邏輯概論第一章數(shù)字邏輯概論1.1數(shù)字電路與數(shù)字信號1.2數(shù)制1.3二進(jìn)制數(shù)的算數(shù)運算1.4二進(jìn)制代碼1.5二值邏輯變量與基本邏輯運算1.6邏輯函數(shù)及其表示方法第一章數(shù)字邏輯概論1.1數(shù)字電路與數(shù)字信號1.1.1數(shù)字技術(shù)的發(fā)展及其應(yīng)用1.1.3模擬信號與數(shù)字信號1.1.4數(shù)字信號的描述方法1.1數(shù)字電路與數(shù)字信號1.1.2數(shù)字集成電路的分類及特點1.1.1數(shù)字技術(shù)的發(fā)展及其應(yīng)用1.1.3模擬信號與數(shù)字信1.1.1數(shù)字技術(shù)的發(fā)展及其應(yīng)用60-70年代,IC技術(shù)迅速發(fā)展小規(guī)模中規(guī)模大規(guī)模超大規(guī)模(SSI)(MSI)(LSI)(VLSI)10萬個晶體管/片。70年代末,微處理器的出現(xiàn),使數(shù)字電子的性能產(chǎn)生了質(zhì)的飛躍

80年代后-ULSI,10億個晶體管/片、ASIC制作技術(shù)成熟

90年代后-97年一片集成電路上有40億個晶體管。目前--芯片內(nèi)部的布線細(xì)微到亞微米(0.13~0.09m)量級;微處理器的時鐘頻率高達(dá)3GHz(109Hz)將來-高分子材料或生物材料制成密度更高、三維結(jié)構(gòu)的電路目前較先進(jìn)的器件:可編程邏輯器件(PLD)微處理器(CPU)數(shù)字信號處理器(DSP)電子管晶體管集成電路發(fā)展特點:以電子器件的發(fā)展為基礎(chǔ)1.1.1數(shù)字技術(shù)的發(fā)展及其應(yīng)用60-70年代,IC技術(shù)迅電子管時代1906年,福雷斯特等發(fā)明了電子管;電子管體積大、重量重、耗電大、壽命短。目前在一些大功率發(fā)射裝置中使用。電壓控制器件電真空技術(shù)電子管時代1906年,福雷斯特等發(fā)明了電子管;電子管體積大、1.1.1數(shù)字技術(shù)的發(fā)展及其應(yīng)用電子管晶體管集成電路發(fā)展特點:以電子器件的發(fā)展為基礎(chǔ)1.1.1數(shù)字技術(shù)的發(fā)展及其應(yīng)用電子管晶體管集成電路發(fā)展特晶體管時代電流控制器件半導(dǎo)體技術(shù)半導(dǎo)體二極管、三極管器件晶體管時代電流控制器件半導(dǎo)體二極管、三極管器件1.1.1數(shù)字技術(shù)的發(fā)展及其應(yīng)用電子管晶體管集成電路發(fā)展特點:以電子器件的發(fā)展為基礎(chǔ)1.1.1數(shù)字技術(shù)的發(fā)展及其應(yīng)用電子管晶體管集成電路發(fā)展特半導(dǎo)體集成電路半導(dǎo)體集成電路1.1.1數(shù)字技術(shù)的發(fā)展及其應(yīng)用60-70年代,IC技術(shù)迅速發(fā)展小規(guī)模中規(guī)模大規(guī)模超大規(guī)模(SSI)(MSI)(LSI)(VLSI)10萬個晶體管/片。70年代末,微處理器的出現(xiàn),使數(shù)字電子的性能產(chǎn)生了質(zhì)的飛躍

80年代后-ULSI,10億個晶體管/片、ASIC制作技術(shù)成熟

90年代后-97年一片集成電路上有40億個晶體管。目前--芯片內(nèi)部的布線細(xì)微到亞微米(0.13~0.09m)量級;微處理器的時鐘頻率高達(dá)3GHz(109Hz)將來-高分子材料或生物材料制成密度更高、三維結(jié)構(gòu)的電路目前較先進(jìn)的器件:可編程邏輯器件(PLD)微處理器(CPU)數(shù)字信號處理器(DSP)電子管晶體管集成電路發(fā)展特點:以電子器件的發(fā)展為基礎(chǔ)1.1.1數(shù)字技術(shù)的發(fā)展及其應(yīng)用60-70年代,IC技術(shù)迅1.2數(shù)字電路的應(yīng)用模擬量的數(shù)字表示:模擬量可以用數(shù)字0、1的編碼來表示。傳感器放大器模\數(shù)轉(zhuǎn)換器數(shù)\模轉(zhuǎn)換器執(zhí)行機(jī)構(gòu)功率放大數(shù)字電路(CPU)一般測控系統(tǒng)框圖1.2數(shù)字電路的應(yīng)用模擬量的數(shù)字表示:模擬量可以用數(shù)字0、1數(shù)碼相機(jī)智能儀器計算機(jī)數(shù)字技術(shù)的應(yīng)用數(shù)碼相機(jī)智能儀器計算機(jī)數(shù)字技術(shù)的應(yīng)用根據(jù)電路的結(jié)構(gòu)特點及其對輸入信號的響應(yīng)規(guī)則的不同,

--數(shù)字電路可分為組合邏輯電路和時序邏輯電路。從集成度不同

--數(shù)字集成電路可分為小規(guī)模、中規(guī)模、大規(guī)模、超大規(guī)模和甚大規(guī)模五類。從電路的形式不同,

--數(shù)字電路可分為集成電路和分立電路從器件不同

--數(shù)字電路可分為TTL和CMOS電路1、數(shù)字集成電路的分類1.1.2、數(shù)字集成電路的分類及特點根據(jù)電路的結(jié)構(gòu)特點及其對輸入信號的響應(yīng)規(guī)則的不同,從集成度不可編程邏輯器件、多功能專用集成電路106以上甚大規(guī)模大型存儲器、微處理器10,000~99,999超大規(guī)模小型存儲器、門陣列100~9999大規(guī)模計數(shù)器、加法器12~99中規(guī)模邏輯門、觸發(fā)器最多12個小規(guī)模典型集成電路門的個數(shù)分類集成度:每一芯片所包含的門個數(shù)1.1.2、數(shù)字集成電路的分類及特點可編程邏輯器件、多功能專用集成電路106以上甚大規(guī)模大型存儲2、數(shù)字集成電路的特點1)電路簡單,便于大規(guī)模集成,批量生產(chǎn)2)可靠性、穩(wěn)定性和精度高,抗干擾能力強(qiáng)3)體積小,通用性好,成本低.4)具可編程性,可實現(xiàn)硬件設(shè)計軟件化5)高速度低功耗6)加密性好1.1.2、數(shù)字集成電路的分類及特點2、數(shù)字集成電路的特點1)電路簡單,便于大規(guī)模集成,批量生產(chǎn)3、數(shù)字電路的分析、設(shè)計與測試(1)數(shù)字電路的分析方法數(shù)字電路的分析:根據(jù)電路確定電路輸出與輸入之間的邏輯關(guān)系。(2)

數(shù)字電路的設(shè)計方法數(shù)字電路的設(shè)計:從給定的邏輯功能要求出發(fā),選擇適當(dāng)?shù)倪壿嬈骷?,設(shè)計出符合要求的邏輯電路。設(shè)計方式:分為傳統(tǒng)的設(shè)計方式和基于EDA軟件的設(shè)計方式。

分析工具:邏輯代數(shù)。電路邏輯功能主要用真值表、功能表、邏輯表達(dá)式和波形圖。1.1.2、數(shù)字集成電路的分類及特點3、數(shù)字電路的分析、設(shè)計與測試(1)數(shù)字電路的分析方法數(shù)字電電路設(shè)計方法伴隨器件變化從傳統(tǒng)走向現(xiàn)代傳統(tǒng)的設(shè)計方法:現(xiàn)代的設(shè)計方法:采用自下而上的設(shè)計方法;由人工組裝,經(jīng)反復(fù)調(diào)試、驗證、修改完成。所用的元器件較多,電路可靠性差,設(shè)計周期長。現(xiàn)代EDA技術(shù)實現(xiàn)硬件設(shè)計軟件化。采用從上到下設(shè)計方法,電路設(shè)計、分析、仿真、修訂全通過計算機(jī)完成。1.1.2、數(shù)字集成電路的分類及特點電路設(shè)計方法伴隨器件變化從傳統(tǒng)走向現(xiàn)代傳統(tǒng)的設(shè)計方法:現(xiàn)代的EDA技術(shù)以計算機(jī)為基本工具、借助于軟件設(shè)計平臺,自動完成數(shù)字系統(tǒng)的仿真、邏輯綜合、布局布線等工作。最后下載到芯片,實現(xiàn)系統(tǒng)功能。使硬件設(shè)計軟件化。1、設(shè)計:在計算機(jī)上利用軟件平臺進(jìn)行設(shè)計原理圖設(shè)計VerilogHDL語言設(shè)計狀態(tài)機(jī)設(shè)計設(shè)計方法EDA(ElectronicsDesignAutomation)技術(shù)EDA技術(shù)以計算機(jī)為基本工具、借助于軟件設(shè)計平臺,自動完成數(shù)3、下載2、仿真4、驗證結(jié)果實驗板下載線EDA(ElectronicsDesignAutomation)技術(shù)3、下載2、仿真4、驗證結(jié)果實驗板下載線EDA(Electr1.1.3、模擬信號和數(shù)字信號電子電路中的信號模擬信號數(shù)字信號時間、數(shù)值連續(xù)的物理量(電信號)時間和數(shù)值離散的物理量(電信號)1.1.3、模擬信號和數(shù)字信號電子電路中的信號模擬信號數(shù)字信1.1.3模擬信號表示信號的物理參量之一是信號的強(qiáng)度隨時間變化的特性,即信號的時域特性,具體到電子系統(tǒng)中所采用的信號則是電壓或電流的時間特性模擬信號的特點是信號參量的取值隨連續(xù)時間的變化而保持其連續(xù)性,模擬信號的特性一般如圖1-1-1所示。通常把工作在模擬信號下的電子電路稱為模擬電路。tu圖1-1-1模擬信號波形1.1.3模擬信號表示信號的物理參量之一是信號的強(qiáng)度隨時間變1.1.3模擬信號tu正弦波信號t鋸齒波信號研究模擬電路時,注重電路輸入、輸出信號間的大小、相位關(guān)系。包括交直流放大器、濾波器、信號發(fā)生器等。在模擬電路中,晶體管一般工作在放大狀態(tài)。圖1-1-1模擬信號波形1.1.3模擬信號tu正弦波信號t鋸齒波信號研究模擬電路時1.1.3數(shù)字信號一、數(shù)字信號的特點數(shù)字信號在時間上和數(shù)值上均是離散的。(其強(qiáng)度的取值是有限個數(shù))數(shù)字信號在電路中常表現(xiàn)為突變的電壓或電流。圖1-1-2所示為數(shù)字信號。

圖1.1.2典型的數(shù)字信號Vt(V)50103050(ms)20401.1.3數(shù)字信號一、數(shù)字信號的特點Vt(V)5010301.1.3模擬信號的數(shù)字表示由于數(shù)字信號便于存儲、分析和傳輸,通常都將模擬信號轉(zhuǎn)換為數(shù)字信號.

0

0

模擬信號

模數(shù)轉(zhuǎn)換器

3

V

數(shù)字輸出

0

0

0

0

1

1

模數(shù)轉(zhuǎn)換的實現(xiàn)1.1.3模擬信號的數(shù)字表示由于數(shù)字信號便于存儲、分析和傳1.1.4數(shù)字信號的描述方法二值數(shù)字邏輯和邏輯電平數(shù)字信號是一種二值信號,用兩個電平(高電平和低電平)分別來表示兩個邏輯值(邏輯1和邏輯0)。二值數(shù)字邏輯:0、1數(shù)碼---表示數(shù)量時稱二進(jìn)制數(shù);

---表示事物狀態(tài)時稱二值邏輯有兩種邏輯體制:

正邏輯體制規(guī)定:高電平為邏輯1,低電平為邏輯0。

負(fù)邏輯體制規(guī)定:低電平為邏輯1,高電平為邏輯0。電壓(V)二值邏輯電平+51H(高電平)00L(低電平)邏輯電平與電壓值的關(guān)系(正邏輯)1.1.4數(shù)字信號的描述方法二值數(shù)字邏輯和邏輯電平電壓(V(a)用邏輯電平描述的數(shù)字波形(b)16位數(shù)據(jù)的圖形表示數(shù)字波形------是信號邏輯電平對時間的圖形表示.1.1.4數(shù)字信號的描述方法(a)用邏輯電平描述的數(shù)字波形(b)16位數(shù)據(jù)的圖形表示高電平低電平有脈沖*非歸零型*歸零型比特率--------每秒鐘轉(zhuǎn)輸數(shù)據(jù)的位數(shù)無脈沖數(shù)字波形的兩種類型:1.1.4數(shù)字信號的描述方法-數(shù)字波形高電平低電平有脈沖*非歸零型*歸零型比特率-------周期性和非周期性

非周期性數(shù)字波形周期性數(shù)字波形

1.1.4數(shù)字信號的描述方法-數(shù)字波形周期性和非周期性非周期性數(shù)字波形周期性數(shù)字波形 1.1.數(shù)字信號的主要參數(shù)一個周期性數(shù)字信號,可用以下幾個參數(shù)來描繪:

Vm——信號幅度。

T——信號的重復(fù)周期。表示兩個相鄰脈沖之間的時間間隔

tW——脈沖寬度。脈沖幅值的50%的兩個時間所跨越的時間

q——占空比。其定義為:

1.1.4數(shù)字信號的描述方法-數(shù)字波形數(shù)字信號的主要參數(shù)一個周期性數(shù)字信號,可用以下幾個參數(shù)來描繪數(shù)字信號的主要參數(shù)上升時間tr——從脈沖幅值的10%上升到90%所需的時間。下降時間tf——從脈沖幅值的90%下降到10%所需的時間。脈沖寬度tw

——脈沖幅值的50%的兩個時間點所跨越的時間。1.1.4數(shù)字信號的描述方法-數(shù)字波形數(shù)字信號的主要參數(shù)1.1.4數(shù)字信號的描述方法-數(shù)字波形例1.1.1

某通信系統(tǒng)每秒鐘傳輸1544000位(1.544兆位)數(shù)據(jù),求每位數(shù)據(jù)的時間。解:按題意,每位數(shù)據(jù)的時間為1.1.4數(shù)字信號的描述方法-數(shù)字波形例1.1.1某通信系統(tǒng)每秒鐘傳輸1544000位(1.5例1.1.2設(shè)周期性數(shù)字波形的高電平持續(xù)6ms,低電平持續(xù)10ms,求占空比q。解:因數(shù)字波形的脈沖寬度tw=6ms,周期T=6ms+10ms=16ms。1.1.4數(shù)字信號的描述方法-數(shù)字波形例1.1.2設(shè)周期性數(shù)字波形的高電平持續(xù)6ms,低電平持非理想脈沖波形1.1.4數(shù)字信號的描述方法-數(shù)字波形非理想脈沖波形1.1.4數(shù)字信號的描述方法-數(shù)字波形下圖所示為三個周期相同(T=20ms),但幅度、脈沖寬度及占空比各不相同的數(shù)字信號。下圖所示為三個周期相同(T=20ms),但幅1.1.4數(shù)字信號的描述方法數(shù)字波形------是信號邏輯電平對時間的圖形表示.

二值位形圖:二值數(shù)據(jù)的數(shù)字波形。時序圖:表明相互時間關(guān)系的多重數(shù)字波形圖。1.1.4數(shù)字信號的描述方法數(shù)字波形------是信號邏輯模擬信號與數(shù)字信號比較表項目模擬信號(Analog)數(shù)字信號(Digital)特點連續(xù)離散

波形數(shù)學(xué)十進(jìn)制二進(jìn)制電平數(shù)無窮多個有限個典型溫度、壓力等數(shù)字系統(tǒng)的信號模擬信號與數(shù)字信號比較表項目模擬信號(Analog)數(shù)字信號數(shù)字電路通常把工作在數(shù)字信號下的電子電路稱為數(shù)字電路。數(shù)字電路的特點:數(shù)字電路的輸入、輸出都是數(shù)字信號。在數(shù)字電路中,晶體管工作在開關(guān)狀態(tài),即工作在飽和與截止?fàn)顟B(tài)。數(shù)字電路抗干擾能力強(qiáng)。三極管飽和導(dǎo)通用高電平“1”表示,三極管截止用低電平“0”表示,而且我們只關(guān)心信號的“有”和“無”,電平的“高”和“低”,而不去理會其具體的精確數(shù)值。數(shù)字電路通常把工作在數(shù)字信號下的電子電路稱為數(shù)字電路。三極管1.2數(shù)制數(shù)制:是構(gòu)成多位數(shù)碼中每一位的方法和由低位向高位的進(jìn)位規(guī)則,它也是人們在日常生活和科學(xué)研究中采用的計數(shù)方法。幾種常用的計數(shù)體制

1.十進(jìn)制(Decimal)

2.二進(jìn)制(Binary)

3.十六進(jìn)制(Hexadecimal)

4.八進(jìn)制(Octal)1.2數(shù)制數(shù)制:是構(gòu)成多位數(shù)碼中每一位的方法和由低位向高位(1)進(jìn)位制:表示數(shù)時,僅用一位數(shù)碼往往不夠用,必須用進(jìn)位計數(shù)的方法組成多位數(shù)碼。多位數(shù)碼每一位的構(gòu)成以及從低位到高位的進(jìn)位規(guī)則稱為進(jìn)位計數(shù)制,簡稱進(jìn)位制。1.2數(shù)制(2)基數(shù):進(jìn)位制的基數(shù),就是在該進(jìn)位制中可能用到的數(shù)碼個數(shù)。(3)位權(quán)(位的權(quán)數(shù)):在某一進(jìn)位制的數(shù)中,每一位的大小都對應(yīng)著該位上的數(shù)碼乘上一個固定的數(shù),這個固定的數(shù)就是這一位的權(quán)數(shù)。權(quán)數(shù)是一個冪。(1)進(jìn)位制:表示數(shù)時,僅用一位數(shù)碼往往不夠用,必須用進(jìn)位計數(shù)碼為:0~9;基數(shù)是10。運算規(guī)律:逢十進(jìn)一,即:9+1=10。十進(jìn)制數(shù)的權(quán)展開式:1.2.1十進(jìn)制55555×103=50005×102=5005×101=505×100=5=5555103、102、101、100稱為十進(jìn)制的權(quán)。各數(shù)位的權(quán)是10的冪。同樣的數(shù)碼在不同的數(shù)位上代表的數(shù)值不同。+任意一個十進(jìn)制數(shù)都可以表示為各個數(shù)位上的數(shù)碼與其對應(yīng)的權(quán)的乘積之和,稱權(quán)展開式。即:(5555)D=5×103

+5×102+5×101+5×100又如:(20.04)D=2×101

+0×100+0×10-1+4×10-2數(shù)碼為:0~9;基數(shù)是10。1.2.1十進(jìn)制55555×11.2.2二進(jìn)制數(shù)碼為:0、1;基數(shù)是2。運算規(guī)律:逢二進(jìn)一,即:1+1=10。二進(jìn)制數(shù)的權(quán)展開式:如:(101.01)B=1×22

+0×21+1×20+0×2-1+1×2-2

=(5.25)D加法規(guī)則:0+0=0,0+1=1,1+0=1,1+1=10乘法規(guī)則:0.0=0,0.1=0,1.0=0,1.1=1運算規(guī)則各數(shù)位的權(quán)是2的冪1.2.2二進(jìn)制數(shù)碼為:0、1;基數(shù)是2。加法規(guī)則:0+(1)易于電路表達(dá)---0、1兩個值,可以用管子的導(dǎo)通或截止,燈泡的亮或滅、繼電器觸點的閉合或斷開來表示。二進(jìn)制的優(yōu)點(2)二進(jìn)制數(shù)字裝置所用元件少,電路簡單、可靠。(3)基本運算規(guī)則簡單,運算操作方便。

iD/mA

O

v

DS

/VVGS1

VGS2

VGS3

VGS4

飽和區(qū)

可變電阻區(qū)

截止區(qū)

vO

Rd

VDD

vI

1.2.2二進(jìn)制(1)易于電路表達(dá)---0、1兩個值,可以用管子的導(dǎo)通或截二進(jìn)制數(shù)波形表示1.2.2二進(jìn)制二進(jìn)制數(shù)波形表示1.2.2二進(jìn)制(1)二進(jìn)制數(shù)據(jù)的串行傳輸

二進(jìn)制數(shù)據(jù)的傳輸1.2.2二進(jìn)制(1)二進(jìn)制數(shù)據(jù)的串行傳輸二進(jìn)制數(shù)據(jù)的傳輸1.2.2二(2)二進(jìn)制數(shù)據(jù)的并行傳輸將一組二進(jìn)制數(shù)據(jù)所有位同時傳送。傳送速率快,但數(shù)據(jù)線較多,而且發(fā)送和接收設(shè)備較復(fù)雜。1.2.2二進(jìn)制(2)二進(jìn)制數(shù)據(jù)的并行傳輸將一組二進(jìn)制數(shù)據(jù)所有位同時傳數(shù)碼為:0~7;基數(shù)是8。運算規(guī)律:逢八進(jìn)一,即:7+1=10。八進(jìn)制數(shù)的權(quán)展開式:如:(207.04)O=2×82

+0×81+7×80+0×8-1+4×8-2=(135.0625)D1.2.4八進(jìn)制和十六進(jìn)制2、十六進(jìn)制數(shù)碼為:0~9、A~F;基數(shù)是16。運算規(guī)律:逢十六進(jìn)一,即:F+1=10。十六進(jìn)制數(shù)的權(quán)展開式:如:(D8.A)H=13×161

+8×160+10×16-1=(216.625)D各數(shù)位的權(quán)是8的冪各數(shù)位的權(quán)是16的冪1、八進(jìn)制數(shù)碼為:0~7;基數(shù)是8。1.2.4八進(jìn)制和十六進(jìn)制2、十結(jié)論①一般地,N進(jìn)制需要用到N個數(shù)碼,基數(shù)是N;運算規(guī)律為逢N進(jìn)一。②如果一個N進(jìn)制數(shù)M包含n位整數(shù)和m位小數(shù),即(an-1an-2…a1a0·a-1a-2…a-m)2則該數(shù)的權(quán)展開式為:(M)N

=an-1×Nn-1

an-2×Nn-2

+…+a1×N1+

a0×N0+a-1×N-1+a-2×N-2+…+a-m×N-m

③由權(quán)展開式很容易將一個N進(jìn)制數(shù)轉(zhuǎn)換為十進(jìn)制數(shù)。結(jié)論①一般地,N進(jìn)制需要用到N個數(shù)碼,基數(shù)是N;運算規(guī)律為逢第一章數(shù)字邏輯基礎(chǔ)課件1.2.3數(shù)制轉(zhuǎn)換(1)二進(jìn)制數(shù)轉(zhuǎn)換為八進(jìn)制數(shù):將二進(jìn)制數(shù)由小數(shù)點開始,整數(shù)部分向左,小數(shù)部分向右,每3位分成一組,不夠3位補(bǔ)零,則每組二進(jìn)制數(shù)便是一位八進(jìn)制數(shù)。將N進(jìn)制數(shù)按權(quán)展開,即可以轉(zhuǎn)換為十進(jìn)制數(shù)。1、二進(jìn)制數(shù)與八進(jìn)制數(shù)的相互轉(zhuǎn)換1101010.01000=(152.2)O(2)八進(jìn)制數(shù)轉(zhuǎn)換為二進(jìn)制數(shù):將每位八進(jìn)制數(shù)用3位二進(jìn)制數(shù)表示。

=011111100.010110(374.26)O1.2.3數(shù)制轉(zhuǎn)換(1)二進(jìn)制數(shù)轉(zhuǎn)換為八進(jìn)制數(shù):將二2、二進(jìn)制數(shù)與十六進(jìn)制數(shù)的相互轉(zhuǎn)換111010100.0110000=(1E8.6)H=101011110100.01110110(AF4.76)H

二進(jìn)制數(shù)與十六進(jìn)制數(shù)的相互轉(zhuǎn)換,按照每4位二進(jìn)制數(shù)對應(yīng)于一位十六進(jìn)制數(shù)進(jìn)行轉(zhuǎn)換。3、十進(jìn)制數(shù)轉(zhuǎn)換為二進(jìn)制數(shù)采用的方法—基數(shù)連除、連乘法原理:將整數(shù)部分和小數(shù)部分分別進(jìn)行轉(zhuǎn)換。整數(shù)部分采用基數(shù)連除法,小數(shù)部分采用基數(shù)連乘法。轉(zhuǎn)換后再合并。2、二進(jìn)制數(shù)與十六進(jìn)制數(shù)的相互轉(zhuǎn)換11101整數(shù)部分采用基數(shù)連除法,先得到的余數(shù)為低位,后得到的余數(shù)為高位。小數(shù)部分采用基數(shù)連乘法,先得到的整數(shù)為高位,后得到的整數(shù)為低位。所以:(44.375)10=(101100.011)2采用基數(shù)連除、連乘法,可將十進(jìn)制數(shù)轉(zhuǎn)換為任意的N進(jìn)制數(shù)。整數(shù)部分采用基數(shù)連除法,先得到的余數(shù)為低位,后得到的余數(shù)為高解:根據(jù)上述原理,可將(37)D按如下的步驟轉(zhuǎn)換為二進(jìn)制數(shù)由上得(37)D=(100101)B例1.2.2將十進(jìn)制數(shù)(37)D轉(zhuǎn)換為二進(jìn)制數(shù)。當(dāng)十進(jìn)制數(shù)較大時,有什么方法使轉(zhuǎn)換過程簡化?由上得(37)D=(100101)B例1.2.2將十解:由于27為128,而133-128=5=22+20,例1.2.3將(133)D轉(zhuǎn)換為二進(jìn)制數(shù)所以對應(yīng)二進(jìn)制數(shù)b7=1,b2=1,b0=1,其余各系數(shù)均為0,所以得(133)D=(10000101)B1.2.3數(shù)制轉(zhuǎn)換解:由于27為128,而133-128=5=22+20,例1十六進(jìn)制的優(yōu)點:

1、)與二進(jìn)制之間的轉(zhuǎn)換容易;

2、)計數(shù)容量較其它進(jìn)制都大。假如同樣采用四位數(shù)碼,二進(jìn)制最多可計至(1111)B=(15)D;八進(jìn)制可計至(7777)O=(2800)D;十進(jìn)制可計至(9999)D;十六進(jìn)制可計至(FFFF)H=(65535)D,即64K。其容量最大。

3、)書寫簡潔。十六進(jìn)制的優(yōu)點:1、)與二進(jìn)制之間的轉(zhuǎn)換容易;1.3二進(jìn)制的算術(shù)運算(自學(xué))

1.3.1無符號二進(jìn)制的數(shù)算術(shù)運算

1.3.2有符號二進(jìn)制的數(shù)算術(shù)運算1.3二進(jìn)制的算術(shù)運算(自學(xué))1.3.1無符號二進(jìn)制1、無符號二進(jìn)制的加法規(guī)則:

0+0=0,0+1=1,1+1=10。2、無符號二進(jìn)制數(shù)的減法規(guī)則:0-0=0,1-1=0,1-0=10-1=111.3.1無符號數(shù)算術(shù)運算3、乘法和除法乘法運算:由左移被乘數(shù)與加法運算組成乘法運算:由右移被除數(shù)與減法運算組成1、無

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論