交通燈控制器的設(shè)計(jì)EDA課程設(shè)計(jì)報(bào)告書(shū)_第1頁(yè)
交通燈控制器的設(shè)計(jì)EDA課程設(shè)計(jì)報(bào)告書(shū)_第2頁(yè)
交通燈控制器的設(shè)計(jì)EDA課程設(shè)計(jì)報(bào)告書(shū)_第3頁(yè)
交通燈控制器的設(shè)計(jì)EDA課程設(shè)計(jì)報(bào)告書(shū)_第4頁(yè)
交通燈控制器的設(shè)計(jì)EDA課程設(shè)計(jì)報(bào)告書(shū)_第5頁(yè)
已閱讀5頁(yè),還剩7頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

..大學(xué)課程設(shè)計(jì)說(shuō)明書(shū)名稱(chēng)交通燈控制器的設(shè)計(jì)院系電子信息工程系班級(jí)姓名學(xué)號(hào)系主任教研室主任指導(dǎo)教師目錄第一章緒論31電子設(shè)計(jì)自動(dòng)化簡(jiǎn)介31.1概要31.2EDA數(shù)字系統(tǒng)設(shè)計(jì)41.2.1分析方法4第二章設(shè)計(jì)要求52設(shè)計(jì)基本要求52.1電路工作原理5第三章系統(tǒng)的設(shè)計(jì)63系統(tǒng)設(shè)計(jì)要求63.1設(shè)計(jì)思路73.2設(shè)計(jì)流程73.3系統(tǒng)結(jié)構(gòu)圖83.4系統(tǒng)程序93.5仿真與調(diào)試14第四章心得體會(huì)15參考文獻(xiàn)16第一章緒論1電子設(shè)計(jì)自動(dòng)化簡(jiǎn)介1.1概要EDA技術(shù)是指以計(jì)算機(jī)為工作平臺(tái),融合了應(yīng)用電子技術(shù)、計(jì)算機(jī)技術(shù)、信息處理及智能化技術(shù)的最新成果,進(jìn)行電子產(chǎn)品的自動(dòng)設(shè)計(jì)。利用EDA工具,電子設(shè)計(jì)師可以從概念、算法、協(xié)議等開(kāi)始設(shè)計(jì)電子系統(tǒng),大量工作可以通過(guò)計(jì)算機(jī)完成,并可以將電子產(chǎn)品從電路設(shè)計(jì)、性能分析到設(shè)計(jì)出IC版圖或PCB版圖的整個(gè)過(guò)程的計(jì)算機(jī)上自動(dòng)處理完成。現(xiàn)在對(duì)EDA的概念或范疇用得很寬。包括在機(jī)械、電子、通信、航空航天、化工、礦產(chǎn)、生物、醫(yī)學(xué)、軍事等各個(gè)領(lǐng)域,都有EDA的應(yīng)用。目前EDA技術(shù)已在各大公司、企事業(yè)單位和科研教學(xué)部門(mén)廣泛使用。EDA系統(tǒng)的設(shè)計(jì)分類(lèi)根據(jù)采用計(jì)算機(jī)輔助技術(shù)的介入程度,可以分為三類(lèi):第一類(lèi):人工設(shè)計(jì)方法,這是一種傳統(tǒng)的設(shè)計(jì)方法,從方案的提出到驗(yàn)證和修改均采用人工手段完成,尤其是系統(tǒng)的驗(yàn)證需要經(jīng)過(guò)實(shí)際搭試電路完成,花費(fèi)大、效率低、制造周期長(zhǎng)。第二類(lèi):借助計(jì)算機(jī)來(lái)完成數(shù)據(jù)處理、模擬評(píng)價(jià)、設(shè)計(jì)驗(yàn)證等部分,由人和計(jì)算機(jī)共同完成,但由于軟件匱乏,該階段許多工作尚需人工完成。第三類(lèi):該階段的世紀(jì)方法稱(chēng)為電子設(shè)計(jì)自動(dòng)化,這個(gè)階段發(fā)展起來(lái)的EDA工具,目的是在設(shè)計(jì)前期將設(shè)計(jì)工程師從事的許多高層次設(shè)計(jì)由工具完成。整個(gè)設(shè)計(jì)過(guò)程或大部分設(shè)計(jì)均有計(jì)算機(jī)完成。EDA技術(shù)發(fā)展表現(xiàn)形式<1>CPLD/FPGA系統(tǒng):使用EDA技術(shù)開(kāi)發(fā)CPLD/FPGA,使自行開(kāi)發(fā)的CPLD/FPGA作為電子系統(tǒng)、控制系統(tǒng)、信息處理系統(tǒng)的主體。<2>"CPLD/FPGA+MCU"系統(tǒng):綜合應(yīng)用EDA技術(shù)與單片機(jī)技術(shù),將自行開(kāi)發(fā)的"CPLD/FPGA+MCU"作為電子系統(tǒng)、控制系統(tǒng)、信息處理系統(tǒng)的主體。<3>"CPLD/FPGA+專(zhuān)用DSP處理器"系統(tǒng):將EDA技術(shù)與DSP專(zhuān)用處理器配合使用,用"CPLD/FPGA+專(zhuān)用DSP處理器"構(gòu)成一個(gè)數(shù)字信號(hào)處理系統(tǒng)的整體。<4>基于FPGA實(shí)現(xiàn)的現(xiàn)代DSP系統(tǒng):基于SOPC<aSystemonaProgrammableChip>技術(shù)、EDA技術(shù)與FPGA技術(shù)實(shí)現(xiàn)方式的現(xiàn)代DSP系統(tǒng)。<5>基于FPGA實(shí)現(xiàn)的SOC片上系統(tǒng):使用超大規(guī)模的FPGA實(shí)現(xiàn)的,內(nèi)含1個(gè)或數(shù)個(gè)嵌入式CPU或DSP,能夠?qū)崿F(xiàn)復(fù)雜系統(tǒng)功能的單一芯片系統(tǒng)。<6>基于FPGA實(shí)現(xiàn)的嵌入式系統(tǒng):使用CPLD/FPGA實(shí)現(xiàn)的,內(nèi)含嵌入式處理器,能滿(mǎn)足對(duì)象系統(tǒng)要求的特定功能的,能夠嵌入到宿主系統(tǒng)的專(zhuān)用計(jì)算機(jī)應(yīng)用系統(tǒng)。1.2EDA數(shù)字系統(tǒng)設(shè)計(jì)分析方法傳統(tǒng)的電路設(shè)計(jì)方法都是自底向上進(jìn)行設(shè)計(jì)的,也就是首先確定可用的元器件,然后根據(jù)這些器件進(jìn)行邏輯設(shè)計(jì),完成各模塊后進(jìn)行連接,最后形成系統(tǒng)。在基于EDA技術(shù)的系統(tǒng)設(shè)計(jì)的最重要環(huán)節(jié)——在系統(tǒng)的基本功能或行為級(jí)上對(duì)設(shè)計(jì)的產(chǎn)品進(jìn)行描述和定義時(shí),我們采用自頂向下分析,自底向上設(shè)計(jì)的方法。所謂"自頂向下分析",就是指將數(shù)字系統(tǒng)的整體逐步分解為各個(gè)子系統(tǒng)和模塊,若子系統(tǒng)規(guī)模較大,則還需將子系統(tǒng)進(jìn)一步分解為更小的子系統(tǒng)和模塊,層層分解,直至整個(gè)系統(tǒng)中各子系統(tǒng)關(guān)系合理,并便于邏輯電路級(jí)的設(shè)計(jì)和實(shí)現(xiàn)為止。1.2.2實(shí)現(xiàn)方法1.硬件描述語(yǔ)言編程實(shí)現(xiàn)法2.原理圖設(shè)計(jì)實(shí)現(xiàn)法3.參數(shù)可設(shè)置兆功能塊實(shí)現(xiàn)法4.軟的或硬的IP核實(shí)現(xiàn)法第二章設(shè)計(jì)要求2設(shè)計(jì)基本要求1設(shè)計(jì)一個(gè)十字路口的交通燈控制器,能顯示十字路口東西、南北兩個(gè)方向的紅、黃、綠燈的指示狀態(tài)。用兩組紅、黃、綠三種顏色的燈分別作為東西、南北兩個(gè)方向的紅、黃、綠燈。變化規(guī)律為:東西綠燈亮,南北紅燈亮——東西黃燈亮,南北紅燈亮——東西紅燈亮,南北綠燈亮——東西紅燈亮,南北黃燈亮——東西綠燈亮,南北紅燈亮……,這樣依次循環(huán)。2南北方向是主干車(chē)道,東西方向是支干車(chē)道,要求兩條交叉道路上的車(chē)輛交替運(yùn)行,主干車(chē)道每次通行時(shí)間為35秒,支干車(chē)道每次通行的時(shí)間為25秒,時(shí)間可設(shè)置修改。3在綠燈轉(zhuǎn)為紅燈時(shí),要求黃燈先亮5秒鐘,才能變換運(yùn)行車(chē)道。4要求交通控制器有復(fù)位功能,在復(fù)位信號(hào)使能的情況下能夠?qū)崿F(xiàn)交通燈的自動(dòng)復(fù)位,并且要求所有交通燈的狀態(tài)變化,包括復(fù)位信號(hào)引起的均發(fā)生在時(shí)鐘脈沖的上升沿。2.1電路工作原理根據(jù)交通燈系統(tǒng)設(shè)計(jì)要求,可以用一個(gè)有限的狀態(tài)機(jī)來(lái)實(shí)現(xiàn)這個(gè)交通燈控制器。根據(jù)功能要求,明確兩組交通燈的狀態(tài),這兩組交通燈總共有四種狀態(tài),分別可用st0,st1,st2,st3不表示:st0表示主干路綠燈亮,支干路紅燈亮;st1表示主干路黃燈亮,支干路紅燈亮;st2表示主干路紅燈亮,支干路綠燈亮;st3表示主干路紅燈亮,支干路黃燈亮;根據(jù)上述四種描述列出的狀態(tài)轉(zhuǎn)換表如下表1所示及交通燈控制器狀態(tài)轉(zhuǎn)換圖如下圖1所示:表1交通燈控制器狀態(tài)轉(zhuǎn)換表第三章系統(tǒng)的設(shè)計(jì)3系統(tǒng)設(shè)計(jì)要求1設(shè)計(jì)一個(gè)十字路口的交通燈控制器,能顯示十字路口東西、南北、南北左轉(zhuǎn)三個(gè)方向的紅、黃、綠燈的指示狀態(tài)。用三組紅、黃、綠三種顏色的燈分別作為東西、南北、南北左轉(zhuǎn)三個(gè)方向的紅、黃、綠燈。變化規(guī)律為:南北綠燈亮,南北左轉(zhuǎn)紅燈亮,東西紅燈亮南北黃燈亮,南北左轉(zhuǎn)紅燈亮,東西紅燈亮南北紅燈亮,南北左轉(zhuǎn)綠燈亮,東西紅燈亮南北紅燈亮,南北左轉(zhuǎn)黃燈亮,東西紅燈亮南北紅燈亮,南北左轉(zhuǎn)紅燈亮,東西綠燈亮南北紅燈亮,南北左轉(zhuǎn)紅燈亮,東西黃燈亮南北綠燈亮,南北左轉(zhuǎn)紅燈亮,東西紅燈亮這樣依次循環(huán)。2南北方向是主干車(chē)道,東西方向是支干車(chē)道,要求兩條交叉道路上的車(chē)輛交替運(yùn)行,主干車(chē)道每次通行時(shí)間為35秒,主干轉(zhuǎn)彎車(chē)道每次通行時(shí)間為20秒,支干車(chē)道每次通行的時(shí)間為25秒,時(shí)間可設(shè)置修改。3在綠燈轉(zhuǎn)為紅燈時(shí),要求黃燈先亮5秒鐘,才能變換運(yùn)行車(chē)道。4要求交通控制器有復(fù)位功能,在復(fù)位信號(hào)使能的情況下能夠?qū)崿F(xiàn)交通燈的自動(dòng)復(fù)位,并且要求所有交通燈的狀態(tài)變化,包括復(fù)位信號(hào)引起的均發(fā)生在時(shí)鐘脈沖的上升沿。5>顯示器倒計(jì)時(shí)顯示時(shí)間3.1設(shè)計(jì)思路1本交通燈控制器是一個(gè)已知主、主左、支干道通行時(shí)間的系統(tǒng),為了滿(mǎn)足主、主左、支干道通行時(shí)間變化要求,我們可設(shè)計(jì)一個(gè)可預(yù)置主、主左、支干道通行時(shí)間的交通控制器。2交通燈控制器的電路控制主要包括置數(shù)器模塊、定時(shí)計(jì)數(shù)器模塊、主控制器模塊和譯碼器模塊。置數(shù)器模塊將交通燈的點(diǎn)亮?xí)r間預(yù)置到置數(shù)電路中。計(jì)數(shù)器模塊以秒為單位倒計(jì)時(shí),當(dāng)計(jì)數(shù)值減為零時(shí),主控電路改變輸出狀態(tài),電路進(jìn)入下一個(gè)狀態(tài)的倒計(jì)時(shí)。核心部分是主控制模塊。3.2設(shè)計(jì)流程根據(jù)設(shè)計(jì)要求和系統(tǒng)所具有功能,并參考相關(guān)的文獻(xiàn)資料,經(jīng)可行方案設(shè)計(jì)畫(huà)出如下所示的十字路口交通燈控制器系統(tǒng)框圖,及為設(shè)計(jì)的總體方案,框圖如下圖〔2所示:CLKCLK交通燈控制及計(jì)時(shí)模塊掃描顯示模塊LED顯示圖〔2交通燈控制器系統(tǒng)框圖3.3系統(tǒng)結(jié)構(gòu)圖3.4系統(tǒng)程序控制器電路程序:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYledcontrolIS PORT<reset,clk,urgen :IN STD_LOGIC; state :OUT STD_LOGIC_VECTOR<2DOWNTO0>; sub,set1,set2,set3:OUT STD_LOGIC>;ENDledcontrol;ARCHITECTUREaOFledcontrolIS SIGNALcount:STD_LOGIC_VECTOR<6DOWNTO0>; SIGNALsubtemp:STD_LOGIC;BEGINsub<=subtempAND<NOTclk>; statelabel: PROCESS<reset,clk>BEGINIFreset='1'THEN count<="0000000"; state<="000"; set2<='1';ELSIFclk'eventANDclk='1'THENIFurgen='0'THENcount<=count+1;subtemp<='1';ELSEsubtemp<='0';ENDIF; IFcount=0thenstate<="000";set1<='1';set2<='1';set3<='1'; ELSIFcount=35thenstate<="001";set1<='1'; ELSIFcount=40THENstate<="010";set1<='1';set2<='1'; ELSIFcount=60THENstate<="011";set2<='1'; ELSIFcount=65THENstate<="100";set2<='1';set3<='1'; elsifcount=90THENstate<="101";set3<='1'; ELSIFcount=95THENcount<="0000000";ELSEset1<='0';set2<='0';set3<='0';ENDIF; ENDIF;ENDPROCESSstatelabel;ENDa;輸出顯示電路程序:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYledshowIS PORT< clk,urgen :IN STD_LOGIC; state :IN STD_LOGIC_VECTOR<2DOWNTO0>; sub,set1,set2,set3:IN STD_LOGIC; eg1,ey1,er1,edg2,edy2,edr2,ng1,ny1,nr1:OUT STD_LOGIC; led1,led2 :OUT STD_LOGIC_VECTOR<7DOWNTO0>>;ENDledshow;ARCHITECTUREaOFledshowIS SIGNALcount1,count2,count3:STD_LOGIC_VECTOR<7DOWNTO0>; SIGNALsetstate1,setstate2,setstate3:STD_LOGIC_VECTOR<7DOWNTO0>; SIGNALetg1,ety1,etr1,edirr1,edirg1,ediry1,norg2,nory2,norr2:STD_LOGIC;BEGINled1<="00000000"WHENurgen='1'ANDclk='0'ELSE count1WHENstate="000"ELSE count1WHENstate="001"ELSE count2WHENstate="010"ELSE count2WHENstate="011"ELSE count2WHENstate="100"ELSE count1;led2<="00000000"WHENurgen='1'ANDclk='0'ELSE count3WHENstate="000"ELSE count3WHENstate="001"ELSE count3WHENstate="010"ELSE count3WHENstate="011"ELSE count3WHENstate="100"ELSE count3WHENstate="101"ELSE count3;etg1<='1'WHENstate="000"ANDurgen='0'ELSE'0'; ety1<='1'WHENstate="001"ANDurgen='0'ELSE'0';etr1<='1'WHENstate="010"orurgen='1'ORstate="011"ORstate="100"ORstate="101"ORstate="110"ORstate="111"ELSE'0';edirg1<='1'WHENstate="010"andurgen='0'ELSE'0';ediry1<='1'WHENstate="011"andurgen='0'ELSE'0';edirr1<='1'WHENstate="000"ORurgen='1'ORstate="001"ORstate="100"ORstate="101"ORstate="110"ORstate="111"ELSE'0'; norg2<='1'WHENstate="100"ANDurgen='0'ELSE'0';nory2<='1'WHENstate="101"andurgen='0'ELSE'0';norr2<='1'WHENstate="000"ORstate="001"ORstate="010"ORstate="011"ORstate="110"ORstate="111"ORurgen='1'ELSE'0';setstate1<="00110101"WHENstate="000"ELSE "00000101"WHENstate="001"ELSE "00110000"WHENstate="101"ELSE "00100000";setstate2<="00010101"WHENstate="000"ELSE "00100000"WHENstate="010"ELSE "00000101"WHENstate="011"ELSE "00110000"WHENstate="100"ELSE "00000000";setstate3<="01100101"WHENstate="000"ELSE "00100101"WHENstate="100"ELSE "00000101"WHENstate="101"ELSE "01100101";label3:PROCESS<sub>BEGINIFsub'eventANDsub='1'THENIFset3='1'THENcount3<=setstate3;elsifcount3<3downto0>="0000"thencount3<=count3-7;ELSEcount3<=count3-1;END

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論