簡(jiǎn)易頻譜分析儀的設(shè)計(jì)_第1頁(yè)
簡(jiǎn)易頻譜分析儀的設(shè)計(jì)_第2頁(yè)
簡(jiǎn)易頻譜分析儀的設(shè)計(jì)_第3頁(yè)
簡(jiǎn)易頻譜分析儀的設(shè)計(jì)_第4頁(yè)
簡(jiǎn)易頻譜分析儀的設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩39頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

42-/NUMPAGES44摘要頻譜分析儀的基本功能是測(cè)量信號(hào)的幅度/頻率響應(yīng),可以完成諸如頻譜成分分析、失真測(cè)量、調(diào)制信號(hào)譜分析、信號(hào)衰減測(cè)量、電子組件增益測(cè)量等。其基本工作原理是,掃頻本振的頻率隨鋸齒波發(fā)生器的輸出在一定范圍內(nèi)掃描,使不同頻率的輸入信號(hào)與本振混頻后,依次落入分辨率帶寬濾波器通帶內(nèi),進(jìn)一步放大、檢波后加到Y(jié)放大器,亮點(diǎn)在屏幕上的垂直偏移正比于該頻率分量的幅值。由于掃描電壓在調(diào)制振蕩器的同時(shí),又驅(qū)動(dòng)X放大器,從而可以在屏幕上顯示出被子測(cè)信號(hào)的頻譜。本系統(tǒng)是根據(jù)外差原理設(shè)計(jì)并實(shí)現(xiàn)的頻譜分析儀。利用DDS芯片生成10KHZ步進(jìn)的本機(jī)振蕩器,AD835做混頻器實(shí)現(xiàn)頻率的合成,通過(guò)濾波器取出各個(gè)頻點(diǎn)(相隔10KHZ)的值,再配合放大,檢波電路收集采樣值,經(jīng)單片機(jī)SPCE061A處理,最后送給示波器顯示頻譜。測(cè)量頻率范圍覆蓋1-30MHZ,該系統(tǒng)也可以根據(jù)用戶的需要設(shè)定顯示頻譜的中心頻率和帶寬,還可以識(shí)別調(diào)幅,調(diào)頻和等幅波信號(hào).關(guān)鍵詞頻譜分析,混頻,濾波,外差原理AbstractSpectrumanalyzertomeasurethesignalisthebasicfunctionoftheamplitude/frequencyresponsecanbefinishedsuchasspectrumcompositionanalysis,distortionmeasuring,modulationsignalspectrumanalysis,signalattenuat(yī)ionmeasure,electroniccomponentgainmeasurements.Basicworkingprincipleis,sweepfrequencythisthevibrat(yī)ionofthefrequencywiththeoutputofsawtoothwavegeneratorinacertainrangeofdifferentfrequencyscanning,maketheinputsignalandtheresonancefrequencymixing,ordinalfallwithinbandpassfilterbandwidthresolution,furtheramplification,afterdetectionofamplifier,highlightsaddedtoYinscreenisproportionaltotheverticalmigrat(yī)ionoftheamplitudefrequencycomponents.Duetoscanvoltageinmodulationoscillator,andmeanwhile,thuscandriveXamplifierisshownonthescreeninthespectrumofthemeasuredsignalquilt。Thispaperadoptsheterodyneprincipledesignandrealizethespectrumanalyzer。Use10KHZDDSchipgenerat(yī)ionstepofthismachineoscillators,AD835domixers,throughthefilterremoveeachfrequency(10KHZ)valueapart,coupledwithamplifier,detectioncircuittocollectsamplesvaluesofsunplusSPCE061AprocessingbyMCU,finallysendoscilloscopedisplayspectrum.Measurefrequencyrangecovers1—30MHZaccordingtousernee(cuò)dtosetthedisplayspectrumofcenterfrequencyandbandwidth,stillcanidentifyam,FMandamplitudewavesignal.KEYWORDSSpectralanalysis,mixing,smoothing,heterodyneprinciple目錄TO(shè)C\o"1-4"\h\u摘要 PAGEREF_Toc390185069\hIAbstract PAGEREF_Toc390185070\hII1緒論 PAGEREF_Toc390185071\h-1-1.1頻譜分析儀的簡(jiǎn)介?PAGEREF_Toc390185072\h-1-1.2總體設(shè)計(jì)方案比較?PAGEREF_Toc390185073\h-2-1.3底層電路方案比較與選擇?PAGEREF_Toc390185074\h-2—1.3.1本機(jī)振蕩電路 PAGEREF_Toc390185075\h-2-1。3.2混頻電路 PAGEREF_Toc390185076\h-3-1.3。3濾波電路?PAGEREF_Toc390185077\h-3-1。3.4檢波電路 PAGEREF_Toc390185078\h—3-1。3.5掃頻發(fā)生器電路?PAGEREF_Toc390185079\h—4—1.4本課題研究的意義 PAGEREF_Toc390185080\h—4-1。5本課題設(shè)計(jì)思路?PAGEREF_Toc390185081\h-4-2頻譜分析儀的硬件設(shè)計(jì)?PAGEREF_Toc390185082\h-6-2.1頻譜分析儀的整體結(jié)構(gòu)?PAGEREF_Toc390185083\h-6—2。2頻譜分析儀的各模塊電路設(shè)計(jì) PAGEREF_Toc390185084\h—7—2.2.1本機(jī)振蕩器模塊?PAGEREF_Toc390185085\h-7-2.2。2混頻器模塊?PAGEREF_Toc390185086\h-9-2。2.3放大器模塊?PAGEREF_Toc390185087\h-9-2.2.4濾波器模塊?PAGEREF_Toc390185088\h—10-2。2.5檢波器模塊?PAGEREF_Toc390185089\h—12—2.2.6掃頻發(fā)生器模塊 —13—2。2。7電源保護(hù)模塊?PAGEREF_Toc390185091\h-16-3軟件設(shè)計(jì)?PAGEREF_Toc390185092\h-18-3.1軟件設(shè)計(jì)要求 PAGEREF_Toc390185093\h-18-3.2主程序的軟件設(shè)計(jì)?PAGEREF_Toc390185094\h-19-4系統(tǒng)調(diào)試與指標(biāo)測(cè)試?PAGEREF_Toc390185095\h-20-4.1硬件調(diào)試 PAGEREF_Toc390185096\h—20—4.2軟件調(diào)試 390185097\h—20-4.3軟硬聯(lián)合調(diào)試 PAGEREF_Toc390185098\h-20—4。4指標(biāo)測(cè)試 PAGEREF_Toc390185099\h-20-4.4。1儀器測(cè)試 PAGEREF_Toc390185100\h—20-4。4.2指標(biāo)測(cè)試 PAGEREF_Toc390185101\h-20-結(jié)論 PAGEREF_Toc390185102\h-23—致謝 PAGEREF_Toc390185103\h-24-參考文獻(xiàn) PAGEREF_Toc390185104\h-25—附錄 PAGEREF_Toc390185105\h-26-1緒論1。1頻譜分析儀的簡(jiǎn)介頻譜分析儀是對(duì)無(wú)線電信號(hào)進(jìn)行測(cè)量的必備手段,是從事電子產(chǎn)品研發(fā)、生產(chǎn)、檢驗(yàn)的常用工具,在各種振動(dòng)、噪聲、電聲、發(fā)動(dòng)機(jī)、建筑、生物、醫(yī)學(xué)等領(lǐng)域也起著重要作用。因此,頻譜分析儀的應(yīng)用十分廣泛,被稱為工程師的射頻萬(wàn)用表。頻譜儀被譽(yù)為射頻領(lǐng)域的示波器,現(xiàn)代頻譜儀不僅具有傳統(tǒng)的頻譜分析功能,而且通過(guò)擴(kuò)展選件,可以集成功率計(jì)、頻率計(jì)、標(biāo)量/矢量網(wǎng)絡(luò)分析儀、信號(hào)分析、通信測(cè)試儀等眾多儀器的主要功能,堪稱射頻測(cè)試的集大成者,擁有一臺(tái)高性能頻譜儀,即可完成大部分射頻測(cè)試、信號(hào)分析功能?,F(xiàn)代實(shí)時(shí)頻譜儀的出現(xiàn),進(jìn)一步將頻譜儀的應(yīng)用領(lǐng)域擴(kuò)展到快速變化的瞬態(tài)信號(hào)測(cè)試、實(shí)時(shí)帶寬信號(hào)分析中.傳統(tǒng)的頻譜分析儀的前端電路是一定帶寬內(nèi)可調(diào)諧的接收機(jī),輸入信號(hào)經(jīng)變頻器變頻后由低通濾器輸出,濾波輸出作為垂直分量,頻率作為水平分量,在示波器屏幕上繪出坐標(biāo)圖,就是輸入信號(hào)的頻譜圖.由于變頻器可以達(dá)到很寬的頻率,例如30Hz-30GHz,與外部混頻器配合,可擴(kuò)展到100GHz以上,頻譜分析儀是頻率覆蓋最寬的測(cè)量?jī)x器之一。無(wú)論測(cè)量連續(xù)信號(hào)或調(diào)制信號(hào),頻譜分析儀都是很理想的測(cè)量工具。但是,傳統(tǒng)的頻譜分析儀也有明顯的缺點(diǎn),它只能測(cè)量頻率的幅度,缺少相位信息,因此屬于標(biāo)量?jī)x器而不是矢量?jī)x器?;诳焖俑道锶~變換(FFT)的現(xiàn)代頻譜分析儀,通過(guò)傅里葉運(yùn)算將被測(cè)信號(hào)分解成分立的頻率分量,達(dá)到與傳統(tǒng)頻譜分析儀同樣的結(jié)果.這種新型的頻譜分析儀采用數(shù)字方法直接由模擬/數(shù)字轉(zhuǎn)換器(ADC)對(duì)輸入信號(hào)取樣,再經(jīng)FFT處理后獲得頻譜分布圖。在這種頻譜分析儀中,為獲得良好的儀器線性度和高分辨率,對(duì)信號(hào)進(jìn)行數(shù)據(jù)采集時(shí)ADC的取樣率最少等于輸入信號(hào)最高頻率的兩倍,亦即頻率上限是100MHz的實(shí)時(shí)頻譜分析儀需要ADC有200MS/S的取樣率。目前半導(dǎo)體工藝水平可制成分辨率8位和取樣率4GS/S的ADC或者分辨率12位和取樣率800MS/S的ADC,亦即,原理上儀器可達(dá)到2GHz的帶寬,為了擴(kuò)展頻率上限,可在ADC前端增加下變頻器,本振采用數(shù)字調(diào)諧振蕩器。這種混合式的頻譜分析儀可擴(kuò)展到幾GHz以下的頻段使用。FFT的性能用取樣點(diǎn)數(shù)和取樣率來(lái)表征,例如用100KS/S的取樣率對(duì)輸入信號(hào)取樣1024點(diǎn),則最高輸入頻率是50KHz和分辨率是50Hz。如果取樣點(diǎn)數(shù)為2048點(diǎn),則分辨率提高到25Hz.由此可知,最高輸人頻率取決于取樣率,分辨率取決于取樣點(diǎn)數(shù).FFT運(yùn)算時(shí)間與取樣,點(diǎn)數(shù)成對(duì)數(shù)關(guān)系,頻譜分析儀需要高頻率、高分辨率和高速運(yùn)算時(shí),要選用高速的FFT硬件,或者相應(yīng)的數(shù)字信號(hào)處理器(DSP)芯片.例如,10MHz輸入頻率的1024點(diǎn)的運(yùn)算時(shí)間80μs,而10KHz的1024點(diǎn)的運(yùn)算時(shí)間變?yōu)?4ms,1KHz的1024點(diǎn)的運(yùn)算時(shí)間增加至640ms.當(dāng)運(yùn)算時(shí)間超過(guò)200ms時(shí),屏幕的反應(yīng)變慢,不適于眼睛的觀察,補(bǔ)救辦法是減少取樣點(diǎn)數(shù),使運(yùn)算時(shí)間降低至200ms以下.1.2總體設(shè)計(jì)方案比較方案一:FFT法.這種頻譜分析儀采用數(shù)字方法直接由模擬/數(shù)字轉(zhuǎn)換器(ADC)對(duì)輸入信號(hào)取樣,再經(jīng)FFT處理后獲得頻譜分布圖。它的頻率范圍受到ADC采集速率和FFT運(yùn)算速度的限制。為獲得良好的儀器線性度和高分辨率,ADC的取樣率最少等于輸入信號(hào)最高頻率的兩倍。FFT運(yùn)算時(shí)間與取樣點(diǎn)數(shù)成對(duì)數(shù)關(guān)系,頻譜分析儀需要高頻率、高分辨率和高速運(yùn)算時(shí),要選用高速的FFT硬件,或者相應(yīng)的數(shù)字信號(hào)處理器(DSP)芯片。可見這種方法的優(yōu)點(diǎn)是硬件電路簡(jiǎn)單,主要依靠軟件運(yùn)算,可以提高分辨率。其缺點(diǎn)是頻率越高,對(duì)ADC和DSP芯片的速度要求越高,相應(yīng)價(jià)格也越昂貴。方案二:分段FFT.這種方法將輸入信號(hào)分段,逐段進(jìn)行FFT的處理,這樣分段取樣降低了對(duì)ADC和FFT硬件的速度要求,又可以在相對(duì)窄的頻段內(nèi)得到更高的頻譜分辨率.但是這種方法在軟件和硬件的設(shè)計(jì)和測(cè)試上顯然要復(fù)雜很多,尤其是在1M—30MHz如此寬的頻段范圍內(nèi)。方案三:掃頻法.這種頻譜分析儀采用外差原理,由本機(jī)振蕩器產(chǎn)生一定步進(jìn)頻率的信號(hào)與輸入信號(hào)相乘,然后由適當(dāng)?shù)臑V波器將差頻分量濾出以代表相應(yīng)頻點(diǎn)的幅度。本機(jī)振蕩信號(hào)可以達(dá)到很寬的頻率,與外部混頻器配合,可擴(kuò)展到很高頻率。這種方法的突出優(yōu)點(diǎn)是掃頻范圍大,硬件成本低廉,但這種方法對(duì)硬件電路要求較高,各模塊性能都需要精心設(shè)計(jì),且連接在一起整體調(diào)試時(shí)有一定難度。而且它只適于測(cè)量穩(wěn)態(tài)信號(hào)的頻率幅度,但獲得測(cè)量結(jié)果要花費(fèi)較長(zhǎng)的時(shí)間。根據(jù)實(shí)際條件和成本上的考慮,在滿足題目要求的前提下,我們選擇方案三來(lái)實(shí)現(xiàn)頻譜分析儀的總體設(shè)計(jì)。1。3底層電路方案比較與選擇底層電路方案設(shè)計(jì)包括本機(jī)振蕩模塊,混頻模塊,掃頻模塊,濾波模塊和檢波模塊方案設(shè)計(jì).1。3.1本機(jī)振蕩電路方案一:采用DDS信號(hào)發(fā)生器來(lái)產(chǎn)生本征頻率.其實(shí)現(xiàn)方法是:利用單片機(jī)波表到FPGA的RAM中,然后將波表數(shù)據(jù)輸出到D/A中,通過(guò)D/A轉(zhuǎn)換而得到.該方法實(shí)現(xiàn)簡(jiǎn)單,只需要一片DA芯片就可以了,但由于此方法只能產(chǎn)生頻率較低的正弦波,對(duì)題目中所要求的1MHz-30MHz頻率范圍的正弦波產(chǎn)生比較困難,因此舍棄該方法。方案二:采用鎖相環(huán)的頻率合成技術(shù)實(shí)現(xiàn)通過(guò)改變程序分頻器的分頻比可以獲得頻率穩(wěn)定度等同與晶振的輸出信號(hào),基于鎖相環(huán)的窄帶跟蹤特性,可以較好的選擇所需頻率信號(hào),抑制雜散分量。但由于鎖相環(huán)本身是個(gè)惰性環(huán)節(jié),頻率轉(zhuǎn)換時(shí)間較長(zhǎng),同時(shí)受VCO可變頻率范圍的影響,頻帶不能做的很寬。方案三:采用AD9850來(lái)產(chǎn)生本征頻率正弦波。AD9850是AD公司最新推出的采用先進(jìn)CMOS技術(shù)生產(chǎn)的具有高集成度的直接數(shù)字合成器,內(nèi)置32位頻率累加器、10bit高速DAC、高速比較器和可軟件選通的時(shí)鐘6倍頻電路。外接參考頻率源時(shí),AD9850可以產(chǎn)生頻譜純凈、頻率和相位都可控且穩(wěn)定度非常高的正弦波,可以直接作為信號(hào)源。由于要產(chǎn)生的正弦波信號(hào)要穩(wěn)定度高、相位穩(wěn)定、頻帶較寬,且目前有可用的AD9850模塊可用,因此采用方案三。1.3。2混頻電路方案一:采用三極管電路實(shí)現(xiàn)信號(hào)的混頻。由于在該方案中用到了分立元件三極管,電路中容易產(chǎn)生非線性失真,同時(shí),相對(duì)于數(shù)字電路來(lái)說(shuō),該電路性能也不是很穩(wěn)定。方案二:采用模擬乘法器芯片AD835實(shí)現(xiàn)信號(hào)的混頻。AD835是電壓輸出的模擬乘法器,其基本功能是實(shí)現(xiàn)W=XY+Z.該乘法器芯片可以實(shí)現(xiàn)250MHz范圍內(nèi)信號(hào)的混頻。根據(jù)以上的分析可知,由AD835實(shí)現(xiàn)的混頻器電路性能要優(yōu)于采用三極管實(shí)現(xiàn)的混頻器電路,因此,采用方案二實(shí)現(xiàn)電路.1。3.3濾波電路方案一:直接采用RC電路實(shí)現(xiàn)窄帶濾波器功能。即直接將R和C接成低通加高通或帶通的形式。由于窄帶濾波器的帶寬非常窄,且頻率范圍非常高,因此要實(shí)現(xiàn)電路的功能,電路的階數(shù)要很高,電路相對(duì)比較繁瑣。方案二:采用運(yùn)放加分立元件組成的有源濾波電路或者單片集成濾波器。這類濾波器僅適用于低頻范圍,且Q值不高,帶外衰減很小.方案三:采用聲表面濾波器。這種濾波器工作頻率和相對(duì)帶寬都較寬,但是其帶內(nèi)衰減比較大,一般不低于15dB,市場(chǎng)上也難于購(gòu)買。方案四:采用MAX2978階圓型(Elliptic)濾波器,它的滾降速度快,從通頻帶到阻帶的過(guò)渡帶可以做的很窄。在橢圓型濾波器中,第一個(gè)傳輸零點(diǎn)后輸出將隨頻率的變高而增大,直到第二個(gè)零點(diǎn)處。這樣重復(fù)就會(huì)使頻率響應(yīng)呈現(xiàn)波浪形,阻帶從fS算起,高于頻率fS處的增益不會(huì)超過(guò)fS處的增益。在橢圓型濾波中,通頻帶內(nèi)的增益存在一定范圍的波動(dòng)。橢圓型濾波器的一個(gè)重要參數(shù)就是過(guò)渡比。過(guò)渡比定義為阻帶頻率fS與拐角頻率(有時(shí)也等同為截止頻率)由時(shí)鐘頻率確定.時(shí)鐘既可以是外接的時(shí)鐘,也可以是自己的內(nèi)部時(shí)鐘。使用內(nèi)部時(shí)鐘時(shí)只需外接一個(gè)定時(shí)用的電容既可.綜上所訴,本設(shè)計(jì)采用方案四即橢圓濾波器作為濾波電路。1.3.4檢波電路方案一:利用二極管和電容即可構(gòu)成一個(gè)簡(jiǎn)單的檢測(cè)電路。這種方法在輸入信號(hào)幅度比較大的情況下,輸入電壓峰—峰值和輸出電壓呈線性關(guān)系,但若輸入信號(hào)幅度較小則變成非線性關(guān)系,測(cè)量數(shù)據(jù)不準(zhǔn)確。方案二:利用二極管和運(yùn)放構(gòu)成檢波電路來(lái)測(cè)量電壓峰峰值。由于運(yùn)放的存在,該方法很難在高頻環(huán)境下使用.方案三:為了提高檢波精度,選擇MX636作為檢波電路,它的外圍電路只有一個(gè)電容值這個(gè)電容的選擇很重要,它決定了檢波的精度和穩(wěn)定時(shí)間。大電容檢測(cè)精度高,放電時(shí)間長(zhǎng);小電容會(huì)加大檢波電路的輸出電壓的波紋,是檢測(cè)精度下降。為了平衡DDS的掃頻速度和數(shù)據(jù)采集精度的問(wèn)題,我們選擇了0。1uF,經(jīng)過(guò)檢測(cè)的效果比較理想.綜上所述并考慮到實(shí)際情況,故本系統(tǒng)采用方案三。1.3。5掃頻發(fā)生器電路方案一:采用FPGA為核心的直接數(shù)字合成技術(shù).考慮到所需最高頻率45.7MHZ,導(dǎo)致對(duì)后級(jí)D/A芯片的速度有很高要求,這樣芯片很難購(gòu)得。方案二:采用SPCE061A單片機(jī),其特點(diǎn)是系統(tǒng)結(jié)構(gòu)簡(jiǎn)單、成本低,使用方便,使用價(jià)值高。綜上所述,本系統(tǒng)采用方案二。1。4本課題研究的意義從事通信工程的技術(shù)人員,在很多時(shí)候需要對(duì)信號(hào)進(jìn)行分析,針對(duì)不同觀察域,分別用示波器、頻譜分析儀和矢量分析儀觀察信號(hào)。示波器只能觀察信號(hào)的幅度、周期和頻率;但頻譜分析儀還可以分析信號(hào)的頻率分布信息、頻率、功率、諧波、雜波、噪聲、干擾和失真,而矢量分析儀可以在頻譜分析儀基礎(chǔ)上分析數(shù)字調(diào)制信號(hào)調(diào)制質(zhì)量。早期的信號(hào)觀察,主要依賴示波器在時(shí)域內(nèi)觀察信號(hào);傅立葉變換告訴我們:任何時(shí)域內(nèi)電信號(hào)都是由一個(gè)或多個(gè)不同頻率、不同幅度和不同相位的正弦波組成的,但應(yīng)用示波器無(wú)法觀察到頻域內(nèi)信息,只能在時(shí)域內(nèi)觀察;應(yīng)用頻域測(cè)量,就能以頻譜的形式顯示出每個(gè)正弦波的幅度隨頻率變化的情況.本文采用外差原理設(shè)計(jì)并實(shí)現(xiàn)頻譜分析儀。利用DDS芯片生成10KHZ步進(jìn)的本機(jī)振蕩器,AD835做混頻器,通過(guò)濾波器取出各個(gè)頻點(diǎn)(相隔10KHZ)的值,再配合放大,檢波電路收集采樣值,經(jīng)凌陽(yáng)單片機(jī)SPCE061A處理,最后送示波器顯示頻譜。測(cè)量頻率范圍覆蓋1—30MHZ,可根據(jù)用戶需要設(shè)定顯示頻譜的中心頻率和帶寬,還可以識(shí)別調(diào)幅,調(diào)頻和等幅波信號(hào)。1。5本課題設(shè)計(jì)思路本文以簡(jiǎn)易的頻譜分析儀為研究?jī)?nèi)容,對(duì)國(guó)內(nèi)外現(xiàn)狀進(jìn)行詳細(xì)的了解后,結(jié)合自己所學(xué)知識(shí),以SPCE061A為內(nèi)核,搭建一個(gè)簡(jiǎn)易的頻譜分析儀。這個(gè)頻譜分析儀測(cè)量頻率范圍覆蓋1—30MHZ,可根據(jù)用戶需要設(shè)定顯示頻譜的中心頻率和帶寬,還可以識(shí)別調(diào)幅,調(diào)頻和等幅波信號(hào)。在設(shè)計(jì)研制過(guò)程中主要解決以下幾個(gè)問(wèn)題:(1)混頻器的設(shè)計(jì):混頻器AD835可以實(shí)現(xiàn)250MHZ帶寬內(nèi)的混頻,這對(duì)于我們的實(shí)驗(yàn)完全滿足要求。而且其輸出幅度在不同頻率值時(shí)相對(duì)穩(wěn)定,外圍電路也相對(duì)簡(jiǎn)單,不需要進(jìn)行復(fù)雜的調(diào)零調(diào)試.AD835對(duì)小信號(hào)的處理精度較高,不易輸出新的頻率分量,所以我們利用AD603將DDS輸出的信號(hào)適當(dāng)衰減,將輸入小信號(hào)適當(dāng)放大,再送入乘法器,以獲得最好的相乘效果.(2)濾波器的設(shè)計(jì):本設(shè)計(jì)要求頻譜分辨力為10KHZ,所以每個(gè)掃頻點(diǎn)的間隔為10KHZ。以此頻點(diǎn)作為中心,左右各5KHZ范圍之內(nèi)為有效值,所以濾波器需要5KHZ的帶寬。MAX297為8階開關(guān)電容濾波器,可以實(shí)現(xiàn)截止頻率0。1—50KHZ的可調(diào),很容易滿足題目的要求。其帶內(nèi)增益平坦,帶外衰減速度很快。(3)本機(jī)振蕩器的設(shè)計(jì):本機(jī)振蕩器要求產(chǎn)生10KHZ的步進(jìn)信號(hào),本系統(tǒng)采用DDS集成芯片AD9850。AD9850是高穩(wěn)定度的直接數(shù)字頻率合成器件,內(nèi)部包含有輸入寄存器、數(shù)據(jù)寄存器、數(shù)字合成器、10位高速D/A轉(zhuǎn)換器和高速比較器。AD9850高速直接數(shù)字合成器根據(jù)設(shè)定的32位頻率控制字和5位相移控制字,在外接125MHZ晶振時(shí),可產(chǎn)生高達(dá)40MHZ的正弦波信號(hào).(4)掃頻發(fā)生器的設(shè)計(jì):采用SPCE061A單片機(jī),其特點(diǎn)是系統(tǒng)結(jié)構(gòu)簡(jiǎn)單、成本低,使用方便,使用價(jià)值高.2頻譜分析儀的硬件設(shè)計(jì)2.1頻譜分析儀的整體結(jié)構(gòu)本系統(tǒng)采用外差原理設(shè)計(jì)并實(shí)現(xiàn)頻譜分析儀。利用DDS芯片生成10KHZ步進(jìn)的本機(jī)振蕩器,AD835做混頻器,通過(guò)濾波器取出各個(gè)頻點(diǎn)(相隔10KHZ)的值,再配合放大,檢波電路收集采樣值,經(jīng)單片機(jī)SPCE061A處理,最后送示波器顯示頻譜.測(cè)量頻率范圍覆蓋1-30MHZ,可根據(jù)用戶需要設(shè)定顯示頻譜的中心頻率和帶寬,還可以識(shí)別調(diào)幅,調(diào)頻和等幅波信號(hào)。整體結(jié)構(gòu)如圖2。1所示。放大AD603有效值檢波器放大AD603有效值檢波器MX636濾波器MAX297放大器OP07混頻器AD835fi示波器顯示MCUSPCE0610AAD603示波器顯示MCUSPCE0610AAD603衰減AD9850本振信號(hào)鍵盤及鍵盤及顯示電路圖2.1簡(jiǎn)易頻譜分析儀的整體框圖系統(tǒng)的整體工作流程如下:系統(tǒng)采用DDS芯片AD9850產(chǎn)生本機(jī)振蕩信號(hào),通過(guò)單片機(jī)控制對(duì)其不斷寫入命令字產(chǎn)生頻率可變的正弦掃頻本振信號(hào).由于DDS輸出信號(hào)隨著頻率增加幅度減小,通過(guò)放大器OP07使輸出信號(hào)幅度維持基本不變。乘法器AD835與輸入信號(hào)相乘,實(shí)現(xiàn)在頻域上的譜線搬移,將輸入信號(hào)的頻域譜線搬移到濾波器的通帶內(nèi)。濾波后信號(hào)通過(guò)有效值檢波器MX636檢測(cè)其幅值,并將此幅值通過(guò)單片機(jī)進(jìn)行數(shù)據(jù)處理后輸出作為對(duì)應(yīng)頻率的功率。通過(guò)不斷改變掃頻信號(hào)的頻率,就可以把輸入信號(hào)的各個(gè)不同頻率處的譜線都搬移到通帶內(nèi)檢測(cè)出來(lái),通過(guò)單片機(jī)處理后輸出到液晶顯示器,顯示出輸入信號(hào)的穩(wěn)定頻譜。2.2頻譜分析儀的各模塊電路設(shè)計(jì)簡(jiǎn)易的頻譜分析儀包括本機(jī)振蕩器、混頻器、放大器、濾波器、檢波器、掃頻發(fā)生器及電源保護(hù)七大模塊,下面將一一介紹這七大部分硬件電路設(shè)計(jì)。2。2。1本機(jī)振蕩器模塊本機(jī)振蕩器要求產(chǎn)生10KHZ的步進(jìn)信號(hào),本系統(tǒng)采用DDS集成芯片AD9850.AD9850是高穩(wěn)定度的直接數(shù)字頻率合成器件,內(nèi)部包含有輸入寄存器、數(shù)據(jù)寄存器、數(shù)字合成器、10位高速D/A轉(zhuǎn)換器和高速比較器。AD9850高速直接數(shù)字合成器根據(jù)設(shè)定的32位頻率控制字和5位相移控制字,在外接125MHZ晶振時(shí),可產(chǎn)生高達(dá)40MHZ的正弦波信號(hào)。根據(jù)說(shuō)明書的電路制作了DDS集成板。實(shí)際測(cè)試DDS芯片所產(chǎn)生的信號(hào)波形(10MHZ)較好,幅度隨著頻率的升高而略有下降,為了滿足AD835小信號(hào)混頻性能,后接AD603加以衰減。在軟件控制上,由于方案要求本振信號(hào)以10KHZ頻率步進(jìn)增加,所以對(duì)AD9850頻率\,g調(diào)制字改變也應(yīng)是快速的。AD9850調(diào)制字的裝入可以采用異步串行接口UART,同時(shí)兼顧到單片機(jī)IO口得分配和對(duì)調(diào)制字裝入速度的要求,將系統(tǒng)時(shí)鐘改成最大值49MHZ,以加快掃描和掃描速度.2.2.1。1AD9850的工作原理及內(nèi)部結(jié)構(gòu)AD9850內(nèi)含可編程DDS系統(tǒng)和高速比較器,可實(shí)現(xiàn)全數(shù)字編程控制的頻率合成??删幊藾DS系統(tǒng)的核心是相位累加器,由一個(gè)加法器和一個(gè)N位相位寄存器組成,N一般為24~32。每來(lái)一個(gè)外部參考時(shí)鐘,相位寄存器便以步長(zhǎng)M遞加。相位寄存器的輸出與相位控制字相加后可輸入到正弦查詢表地址上.正弦查詢表包含一個(gè)正弦波周期的數(shù)字幅度信息,每一個(gè)地址對(duì)應(yīng)正弦波中0°~360°范圍的一個(gè)相位點(diǎn)。查詢表把輸入地址的相位信息映射成正弦波幅度信號(hào),然后驅(qū)動(dòng)DAC輸出模擬量。相位寄存器每過(guò)2N/M個(gè)外部參考時(shí)鐘后返回到初始狀態(tài)一次,相應(yīng)地正弦查詢表每經(jīng)過(guò)一個(gè)循環(huán)也回到初始位置,從而使整個(gè)DDS系統(tǒng)輸出一個(gè)正弦波。輸出的正弦波頻率fout=M*fc/2的N次方,fc為外部參考時(shí)鐘頻率。AD9850采用32位的相位累加器將信號(hào)截?cái)喑桑保次惠斎氲秸也樵儽?,查詢表的輸出再被截?cái)喑桑保拔缓筝斎氲紻AC,DAC再輸出兩個(gè)互補(bǔ)的電流。DAC滿量程輸出電流通過(guò)一個(gè)外接電阻RSET調(diào)節(jié),典型值3。9千歐。將DAC的輸出經(jīng)低通濾波后接到AD9850內(nèi)部的高速比較器上即可直接輸出方波。而且在125MHz的時(shí)鐘下,32位頻率控制字可使AD9850輸出頻率分辨率達(dá)0。0291Hz。AD7755是一個(gè)24腳芯片,內(nèi)部結(jié)構(gòu)框圖如圖2.2所示,從圖中可以看出,AD7755由模數(shù)轉(zhuǎn)換電路ADC和信號(hào)處理電路兩部分組成.ADC部分包括兩個(gè)采樣速率達(dá)900KHz的16位二階ADC、增益可編程放大器(PGA)?;鶞?zhǔn)電壓源等模擬電路。兩個(gè)16位ADC分別對(duì)負(fù)載電流和負(fù)載電壓信號(hào)進(jìn)行模數(shù)轉(zhuǎn)換。圖2.2為AD9850的組成原理.LPFDAC?正弦波LPFDAC微控制器相位控制字微控制器相位控制字相位累加器相位累加器比較器正弦查詢表累加器?方波比較器正弦查詢表累加器?相位寄存器累加器頻率控制字 相位寄存器累加器頻率控制字圖2.2AD9850組成原理AD9850采用CMOS工藝,其功耗在3.3V左右。供電時(shí)僅為155MW,擴(kuò)展工業(yè)級(jí)溫度范圍為-40~80度,采用28SSOP表面封裝形式.AD9850的引腳排列如圖2.3圖2。3AD9850引腳排列圖圖2.3AD9850引腳圖2。2.1.2AD9850的控制字與控制時(shí)序AD9850有40位控制字,32位用于頻率控制,5位用于相位控制,1位用于電源休眠(Powerdown)控制,2位用于選擇工作方式。這40位控制字可通過(guò)并行或串行方式輸入到AD9850。在并行裝入方式中,通過(guò)8位總線D0—D7將數(shù)據(jù)輸入到寄存器,在W—CLK的上升沿裝入8位數(shù)據(jù),并把指針指向下一個(gè)輸入寄存器,在重復(fù)5次之后再在FQ-UD上升沿把40位數(shù)據(jù)從輸入寄存器裝入到頻率/相位數(shù)據(jù)寄存器(更新DDS輸出頻率和相位),同時(shí)把地址指針復(fù)位到第一個(gè)輸入寄存器。AD9850的復(fù)位(RESET)信號(hào)為高電平有效,且脈沖寬度不小于5個(gè)參考時(shí)鐘周期。AD9850的參考時(shí)鐘頻率一般遠(yuǎn)高于單片機(jī)的時(shí)鐘頻率,因此AD9850的復(fù)位(RESET)端可與單片機(jī)的復(fù)位端直接相連。2.2。2混頻器模塊乘法器AD835可以實(shí)現(xiàn)250MHZ帶寬內(nèi)的混頻,這對(duì)于我們的設(shè)計(jì)完全滿足要求。而且其輸出幅度在不同頻率值時(shí)相對(duì)穩(wěn)定,外圍電路也相對(duì)簡(jiǎn)單,不需要進(jìn)行復(fù)雜的調(diào)零調(diào)試,只需要對(duì)Z輸入的直流信號(hào)進(jìn)行相對(duì)調(diào)整即可.其基本原理如圖2。4所示.其中W=X*Y+Z.圖2.4基本原理框圖AD835對(duì)小信號(hào)的處理精度較高,不易輸出新的頻率分量,所以我們利用AD603將DDS輸出信號(hào)適當(dāng)衰減,將輸入小信號(hào)適當(dāng)放大,再送入乘法器,以獲得最好的相乘效果。在實(shí)際測(cè)試過(guò)程中,我們發(fā)現(xiàn)乘法器的輸出信號(hào)幅度會(huì)隨信號(hào)頻率的升高而略有增加,很好彌補(bǔ)了DDS集成芯片AD9805輸出信號(hào)的幅度隨著頻率的增加而小幅度降低的缺陷。AD603正是這樣一種具有程控增益調(diào)整功能的芯片。它是美國(guó)ADI公司的專利產(chǎn)品,是一個(gè)低噪、90MHz帶寬增益可調(diào)的集成運(yùn)放,如增益用分貝表示,則增益與控制電壓成線性關(guān)系,壓擺率為275V/μs。管腳間的連接方式?jīng)Q定了可編程的增益范圍,增益在—11~+30dB時(shí)的帶寬為90Mhz,增益在+9~+41dB時(shí)具有9MHz帶寬,改變管腳間的連接電阻,可使增益處在上述范圍內(nèi).該集成電路可應(yīng)用于射頻自動(dòng)增益放大器、視頻增益控制、A/D轉(zhuǎn)換量程擴(kuò)展和信號(hào)測(cè)量系統(tǒng)。2。2.3放大器模塊OP07芯片是一種低噪聲,非斬波穩(wěn)零的雙極性運(yùn)算放大器集成電路。由于OP07具有非常低的輸入失調(diào)電壓(對(duì)于OP07A最大為25μV),所以O(shè)P07在很多應(yīng)用場(chǎng)合不需要額外的調(diào)零措施。OP07同時(shí)具有輸入偏置電流低(OP07A為±2nA)和開環(huán)增益高(對(duì)于OP07A為300V/mV)的特點(diǎn),這種低失調(diào)、高開環(huán)增益的特性使得OP07特別適用于高增益的測(cè)量設(shè)備和放大傳感器的微弱信號(hào)等方面。圖2。5OP07管腳圖其特點(diǎn)是:◆超低偏移:150μV最大。低輸入偏置電流:1.8nA?!舻褪д{(diào)電壓漂移:0。5μV/℃.◆3最大高電源電壓范圍:±3V至±22V.◆1和8為偏置平衡(調(diào)零端),2為反向輸入端?!?為正向輸入端,4接地?!?空腳,6為輸出,7接電源+。圖2.6典型低頻噪聲放大電路2。2。4濾波器模塊?本設(shè)計(jì)要求頻譜分辨力為10KHZ,所以每個(gè)掃頻點(diǎn)的間隔為10KHZ。以此頻點(diǎn)作為中心,左右各5KHZ范圍之內(nèi)為有效值,所以濾波器需要5KHZ的帶寬。MAX297為8階開關(guān)電容濾波器,可以實(shí)現(xiàn)截止頻率0.1—50KHZ的可調(diào),很容易滿足題目的要求.其帶內(nèi)增益平坦,帶外衰減速度很快。MAX297的1管腳的CLK信號(hào)可以外接一個(gè)電容實(shí)現(xiàn)截止頻率的選擇:這樣可以根據(jù)MAX297的截止頻率和CLK的比值為1:50的關(guān)系確定截止頻率fc,經(jīng)過(guò)實(shí)際測(cè)試選擇120pF可以實(shí)現(xiàn)5KHZ的截頻,滿足題目的頻譜分辨力要求.如圖2.7所示。圖2.7由MAX297所組成的截止頻率為5KHZ的LPF(1)MAX297的功能特點(diǎn)MAX293/294/297為8階圓型(Elliptic)濾波器,它的滾降速度快,從通頻帶到阻帶的過(guò)渡帶可以作得很窄。在橢圓型濾波器中,第一個(gè)傳輸零點(diǎn)后輸出將隨頻率的變高而增大,直到第二個(gè)零點(diǎn)處.這樣幾番重復(fù)就使阻事賓頻響呈現(xiàn)波浪形,阻帶從fS起算起,高于頻率fS處的增益不會(huì)超過(guò)fS處的增益.在橢圓型濾波中,通頻帶內(nèi)的增益存在一定范圍的波動(dòng)。橢圓型濾波器的一個(gè)重要參數(shù)就是過(guò)渡比。過(guò)渡比定義為阻帶頻率fS與拐角頻率(有時(shí)也等同為截止頻率)由時(shí)鐘頻率確定。時(shí)鐘既可以是外接的時(shí)鐘,也可以是自己的內(nèi)部時(shí)鐘。使用內(nèi)部時(shí)鐘時(shí)只需外接一個(gè)定時(shí)用的電容既可.在MAX29X系列濾波器集成電路中,除了濾波器電路外還有一個(gè)獨(dú)立的運(yùn)算放大器。用這個(gè)運(yùn)算放大器可以組成配合MAX29X系列濾波器使用后的濾波、反混濾波等連續(xù)時(shí)間低通濾波器。下面歸納一下它們的特點(diǎn):

◆全部為8階低通濾波器。MAX291/MAX295為巴特沃思濾波器;MAX292/296為貝塞爾濾波器;MAX293/294/297為橢圓濾波器?!敉ㄟ^(guò)調(diào)整時(shí)鐘,截止頻率的調(diào)整范圍為:0。1Hz~25kHz(MAX291/292/293*294);0。1Hz~kHz(MAX295/296/297)?!艏瓤捎猛獠繒r(shí)鐘也可用內(nèi)部時(shí)鐘作為截止頻率的控制時(shí)鐘。?◆時(shí)鐘頻率和截止頻率的比率:10∶1(MAX291/292/293/294);50∶1(MAX295/296/297)?!艏瓤捎脝危礦電源供電也可用±5V雙電源供電?!粲幸粋€(gè)獨(dú)立的運(yùn)算放大器可用于其它應(yīng)用目的.◆8-pinDIP、8—pinSO和寬SO—16多種封裝.(2)MAX297獨(dú)立運(yùn)算放大器的用法MAX29X中都設(shè)計(jì)有一個(gè)獨(dú)立的運(yùn)算放大器,這個(gè)放大器和濾波器的實(shí)現(xiàn)無(wú)直接關(guān)系,用這個(gè)放大器可組成一個(gè)一階和二階濾波器,用于實(shí)現(xiàn)MAX29X之前的反混疊濾波功能鄞MAX29X之后的時(shí)鐘噪聲抑制功能。這個(gè)運(yùn)算放大器的反相端已在內(nèi)部和GND相連。圖2.8是用該獨(dú)立運(yùn)放組成的2階低通濾波器的電路,它的拐角頻率為10kHz,輸入阻抗為22Ω,可滿足MAX29X形狀電容濾波器的最小負(fù)載要求(MAX29X的輸出負(fù)載要求不小于20kΩ)可以通過(guò)改變R1、R2、R3、C1、C2的元件值改變拐角頻率。圖2.82階低通濾波器電路連接圖2.2.5檢波器模塊為了提高檢波精度,選擇MX636作為檢波電路,它的外圍電路只有一個(gè)電容值(見圖2.9)。這個(gè)電容的選擇很重要,它決定了檢波的精度和穩(wěn)定時(shí)間。大電容檢測(cè)精度高,放電時(shí)間長(zhǎng);小電容會(huì)加大檢波電路的輸出電壓的波紋,是檢測(cè)精度下降。為了平衡DDS的掃頻速度和數(shù)據(jù)采集精度的問(wèn)題,我們選擇了0.1uF,效果經(jīng)過(guò)檢測(cè)比較理想.圖2。9MX檢波電路原理圖2.2.6掃頻發(fā)生器模塊本設(shè)計(jì)的掃頻發(fā)生器采用SPCE061A單片機(jī),其特點(diǎn)是系統(tǒng)結(jié)構(gòu)簡(jiǎn)單、成本低,使用方便,使用價(jià)值高.其基本性能如下:◆可以產(chǎn)生正弦波、方波、三角波、鋸齒波等幾種周期信號(hào);◆可以用鍵盤編輯生成正弦波、方波、三角波這三種信號(hào)的線性組合.◆增加外部存儲(chǔ)器可以方便的是現(xiàn)信號(hào)存儲(chǔ)功能,即有記憶功能系統(tǒng)可以實(shí)現(xiàn)的功能◆信號(hào)存儲(chǔ)功能可存儲(chǔ)掉電前用戶編輯的信號(hào)和設(shè)置;◆可實(shí)現(xiàn)用鍵盤編輯產(chǎn)生任意信號(hào).(2)SPCE061A簡(jiǎn)介:SPC061A是繼μ'nSP?系列產(chǎn)品SPCE500A等之后凌陽(yáng)科技推出的又一款16位結(jié)構(gòu)的微控制器。與SPCE500A不同的是,在存儲(chǔ)器資源方面考慮到用戶的較少資源的需求以及便于程序調(diào)試等功能,SPCE061A里只內(nèi)嵌32K字的閃存(FLASH).較高的處理速度使μ’nSP?能夠非常容易地、快速地處理復(fù)雜的數(shù)字信號(hào)。因此,與SPCE500A相比,以μ'nSP?為核心的SPCE061A微控制器是適用于數(shù)字語(yǔ)音識(shí)別應(yīng)用領(lǐng)域產(chǎn)品的一種最經(jīng)濟(jì)的選擇。SPCE061A在2.6V~3.6V工作電壓范圍內(nèi)的工作速度范圍為0.32MHz~49.152MHz,較高的工作速度使其應(yīng)用領(lǐng)域更加拓寬.SPCE061A是數(shù)字聲音和語(yǔ)音識(shí)別產(chǎn)品的一種最經(jīng)濟(jì)的應(yīng)用。SPCE061A結(jié)構(gòu)圖如2.10所示.圖2.10SPCE061A結(jié)構(gòu)圖(3)SPCE061A性能:◆16位μs18'nSP?s18微處理器;工作電壓:VDD為2.6~3.6V(cpu),VDDH為VDD◆CPU時(shí)鐘:0.32MHz~49。152MHz;◆內(nèi)置2K字SRAM;內(nèi)置32K閃存ROM;◆可編程音頻處理;晶體振蕩器;◆系統(tǒng)處于備用狀態(tài)下(時(shí)鐘處于停止?fàn)顟B(tài)),18A;◆2個(gè)16位可編程定時(shí)器/計(jì)數(shù)器(可自動(dòng)預(yù)置初始計(jì)數(shù)值);2個(gè)10位DAC(數(shù)—模轉(zhuǎn)換)輸出通道;◆32位通用可編程輸入/輸出端口;14個(gè)中斷源可來(lái)自定時(shí)器A/B,時(shí)基,2個(gè)外部時(shí)鐘源輸入,鍵喚醒;◆7通道10位電壓?!獢?shù)轉(zhuǎn)換器(ADC)和單通道聲音?!獢?shù)轉(zhuǎn)換器;◆聲音模-數(shù)轉(zhuǎn)換器輸入通道內(nèi)置麥克風(fēng)放大器和自動(dòng)增益控制(AGC)功能;(4)SPCE061A系統(tǒng)時(shí)鐘:系統(tǒng)時(shí)鐘的信號(hào)源為PLL振蕩器。系統(tǒng)時(shí)鐘頻率(Fosc)和CPU時(shí)鐘頻率(CPUCLK)可通過(guò)對(duì)P_SystemClock(寫)($7013H)單元編程來(lái)控制.默認(rèn)的Fosc、CPUCLK分別為24.576MHz和Fosc/8。用戶可以通過(guò)對(duì)P_SystemClock單元編程完成對(duì)系統(tǒng)時(shí)鐘和CPU時(shí)鐘頻率的定義.當(dāng)系統(tǒng)被喚醒后最初時(shí)刻的CPUCLK頻率亦為Fosc/8,隨后逐漸被調(diào)整到用戶設(shè)定的CPUCLK頻率。這樣,可避免系統(tǒng)在喚醒初始時(shí)刻讀ROM出現(xiàn)錯(cuò)誤。(5)SPCE061A中斷:SPCE061A具有兩種中斷方式:快速中斷請(qǐng)求FIQ(FastInterruptRequest)中斷和中斷請(qǐng)求IRQ(InterruptRequest)中斷。中斷控制器可處理3種FIQ中斷和14種IRQ中斷,以及一個(gè)由指令BREAK控制的軟中斷.相比之下,FIQ中斷的優(yōu)先級(jí)較高而IRQ中斷的優(yōu)先級(jí)較低。也就是說(shuō),FIQ中斷可以中斷IRQ中斷服務(wù)子程序的執(zhí)行,而CPU?qǐng)?zhí)行相應(yīng)的FIQ中斷服務(wù)子程序的過(guò)程不能被任何中斷源的中斷請(qǐng)求中斷。SPCE061A輸入輸出口:輸入輸出端口是系統(tǒng)與其它設(shè)備進(jìn)行數(shù)據(jù)交換的接口。SPCE061A具有兩個(gè)可編程輸入輸出端口:A口和B口。A口既是具有可編程喚醒功能的普通I/O口,又可與ADC的多路LINE_IN輸入共用(IOA[6~0]與LINE_IN[1~7]共用;B口除了具有普通I/O口的功能外,在特定的管腳上還可以完成一些特殊的功能.盡管數(shù)據(jù)能通過(guò)數(shù)據(jù)端口P_IOX_Data和數(shù)據(jù)緩沖器端口P_IOX_Buffer寫入相同的數(shù)據(jù)寄存器,但從這兩個(gè)端口讀出的數(shù)據(jù)卻來(lái)自不同的位置;從后者讀出的仍是數(shù)據(jù)寄存器里的數(shù)據(jù),而從前者讀出的是I/O管腳上的電平狀態(tài).IOA[7~0]口為鍵喚醒源,通過(guò)讀P_IOA_Latch單元來(lái)鎖存IOA[7~0]端口的電平狀態(tài),從而可激活其喚醒功能。當(dāng)IOA[7~0]口的狀態(tài)和鎖存時(shí)的狀態(tài)不一致時(shí),會(huì)觸發(fā)系統(tǒng)由節(jié)電的睡眠工作模式切換到喚醒模式。(7)SPCE061A定時(shí)器/計(jì)數(shù)器:SPCE061A提供了兩個(gè)16位的定時(shí)器/計(jì)數(shù)器:TimerA和TimerB。TimerA為通用計(jì)數(shù)器;TimerB為多功能計(jì)數(shù)器。TimerA的時(shí)鐘源由時(shí)鐘源A和時(shí)鐘源B進(jìn)行“與”操作而形成;TimerB的時(shí)鐘源僅為時(shí)鐘源A.定時(shí)器發(fā)生溢出后會(huì)產(chǎn)生一個(gè)溢出信號(hào)(TAOUT/TBOUT)。一方面,它會(huì)作為定時(shí)器中斷信號(hào)傳輸給CPU中斷系統(tǒng);另一方面,它又會(huì)作為4位計(jì)數(shù)器計(jì)數(shù)的時(shí)鐘源信號(hào),輸出一個(gè)具有4位可調(diào)的脈寬調(diào)制占空比輸出信號(hào)APWMO或BPWMO(分別從IOB8和IOB9輸出),用來(lái)控制馬達(dá)或其它一些設(shè)備的速度.此外,定時(shí)器溢出信號(hào)還可以用于觸發(fā)ADC輸入的自動(dòng)轉(zhuǎn)換過(guò)程和DAC輸出的數(shù)據(jù)鎖存。向定時(shí)器的P_TimerA_Data(讀/寫)($700AH)單元或P_TimerB_Data(讀/寫)($700CH)單元寫入一個(gè)計(jì)數(shù)值N后,選擇一個(gè)合適的時(shí)鐘源,定時(shí)器/計(jì)數(shù)器將在所選的時(shí)鐘頻率下開始以遞增方式計(jì)數(shù)N,N+1,N+2,…0xFFFE,0xFFFF.當(dāng)計(jì)數(shù)達(dá)到0xFFFF后,定時(shí)器/計(jì)數(shù)器溢出,產(chǎn)生中斷請(qǐng)求信號(hào),被CPU響應(yīng)后送入中斷控制器進(jìn)行處理。同時(shí),N值將被重新載入定時(shí)器/計(jì)數(shù)器并重新開始計(jì)數(shù).在TimerA內(nèi),時(shí)鐘源A是一個(gè)高頻時(shí)鐘源,時(shí)鐘源B是一個(gè)低頻時(shí)鐘源。時(shí)鐘源A和時(shí)鐘源B的組合,為TimerA提供出多種計(jì)數(shù)速度。若以ClkA作為門控信號(hào),‘1'表示允許時(shí)鐘源B信號(hào)通過(guò),而‘0'則表示禁止時(shí)鐘源B信號(hào)通過(guò)而停止TimerA的計(jì)數(shù).例如,如果時(shí)鐘源A為“1”,TimerA?xí)r鐘頻率將取決于時(shí)鐘源B;如果時(shí)鐘源A為“0",將停止TimerA的計(jì)數(shù)。EXT1和EXT2為外部時(shí)鐘源。模數(shù)轉(zhuǎn)換器與數(shù)模轉(zhuǎn)換器SPCE061A有8個(gè)10位?!獢?shù)轉(zhuǎn)換器通道,其中7個(gè)通道用于將模擬量信號(hào)(例如電壓信號(hào))轉(zhuǎn)換為數(shù)字量信號(hào),可以直接通過(guò)引線(IOA[0~6])輸入。另外有一個(gè)通道只作為語(yǔ)音輸入通道,通過(guò)內(nèi)置有自動(dòng)增益控制放大器的麥克風(fēng)通道(MIC_IN)輸入.實(shí)際上可以把ADC看作是一個(gè)實(shí)現(xiàn)模/數(shù)信號(hào)轉(zhuǎn)換的編碼器.(9)掃頻發(fā)生器工作原理:信號(hào)發(fā)生電路原理框圖如圖2.11所示。該信號(hào)發(fā)生器采用SPCE06lA芯片作為系統(tǒng)的CPU,配以少量的外圍接口芯片,構(gòu)成單片機(jī)的最小控制系統(tǒng)。5V電源經(jīng)二極管降壓后得到3.6V電壓用作單片機(jī)電源.人機(jī)對(duì)話部分用A口組成鍵盤及數(shù)碼管顯示(圖中略去未畫);信號(hào)輸出部分用SPCE06lA提供的2個(gè)10位的數(shù)模轉(zhuǎn)換器,即DACl和DAC2,以及外部運(yùn)放電路組成。圖2。11掃頻信號(hào)發(fā)生器電路原理圖信號(hào)生成過(guò)程如下:將生成信號(hào)的數(shù)據(jù)寫入DAC1后,數(shù)字量轉(zhuǎn)換為模擬量經(jīng)DAC1引線端輸出,輸出電流加在電阻R9上形成信號(hào)電壓,信號(hào)電壓經(jīng)運(yùn)放U2A組成的跟隨器輸入數(shù)字電位器DP1(MAX5400)的高端,數(shù)字電位器DP1將分壓后信號(hào)輸入由運(yùn)放U2C組成的跟隨器后輸入由運(yùn)放U2D組成的運(yùn)算放大器放大后輸出。由于單片機(jī)的DAC只能輸出電流,在電阻R9上形成的信號(hào)電壓始終≥0,要輸出正反相信號(hào)需調(diào)整信號(hào)信號(hào)的電平,為此,利用DAC2輸出電流加在電阻R8上形成偏置電壓,經(jīng)由運(yùn)放U2A組成的反相器形成負(fù)偏置電壓(Vr)后,加在輸出放大器U2D輸入端,達(dá)到調(diào)整輸出信號(hào)電平的目的。2。2.7電源保護(hù)模塊由于本系統(tǒng)各模塊對(duì)電源的要求不一致,若各種電源值都由外部分別提供,則電源接口會(huì)顯得很復(fù)雜,所以在電源設(shè)計(jì)上,本方案使用了穩(wěn)壓三極管。外部只提供正負(fù)16伏的電源,+15V用7815分壓得到;-15V用7915分壓得到;+5V用7805分壓得到;—5V用7905分壓得到。另外,為了防止用戶誤將電源反接而損毀系統(tǒng)內(nèi)部芯片,我們?cè)陔娫吹娜肟诮恿艘粋€(gè)二極管,負(fù)極接正電源,正極接負(fù)電源,若電源反接,接口電壓會(huì)限制在二極管的導(dǎo)通壓降0。7V,保證了整個(gè)電路安全。如圖2.12所示。圖2。12電源分壓及保護(hù)電路3軟件設(shè)計(jì)3.1軟件設(shè)計(jì)要求1.可測(cè)試性系統(tǒng)軟件的可測(cè)試性有兩個(gè)方面的含義:其一是較容易準(zhǔn)確制定出測(cè)試結(jié)果,并根據(jù)對(duì)軟件進(jìn)行測(cè)試;其二是軟件設(shè)計(jì)工作完成之后,首先在模擬環(huán)境下運(yùn)行,經(jīng)過(guò)靜態(tài)分析和動(dòng)態(tài)分析仿真運(yùn)行,證明準(zhǔn)確無(wú)誤后才可以投入實(shí)際使用。2.可靠性它是系統(tǒng)軟件最為重要的指標(biāo)之一,該要求有兩層意義:第一是運(yùn)行參數(shù)環(huán)境改變時(shí),軟件能可靠地運(yùn)行并能給出正確的結(jié)果,即要求軟件具有自適應(yīng)性;第二是在環(huán)境惡劣、干擾嚴(yán)重的情況下,軟件必須保證系統(tǒng)能可靠的運(yùn)行,這對(duì)系統(tǒng)的整體可靠運(yùn)行尤其的重要。3。準(zhǔn)確性這對(duì)整個(gè)系統(tǒng)具有重要的意義,對(duì)頻譜分析儀而言就更具有實(shí)際的意義,其結(jié)果的準(zhǔn)確程度是直接關(guān)系到用戶的切身利益。因此,在算法選擇上和位數(shù)選擇方面滿足實(shí)際要求、運(yùn)算結(jié)果要符合國(guó)家相關(guān)的技術(shù)標(biāo)準(zhǔn).4。實(shí)時(shí)性實(shí)時(shí)性是頻譜分析儀的普遍要求。近年來(lái),由于硬件的集成度與速度的提高,配合相應(yīng)的軟件,實(shí)時(shí)性容易滿足要求,特別是對(duì)于匯編語(yǔ)言編制的軟件。5。易理解易維護(hù)性軟件系統(tǒng)容易閱讀和理解,容易發(fā)現(xiàn)和糾正錯(cuò)誤,容易修改和補(bǔ)充。由于生產(chǎn)過(guò)程自動(dòng)化程度的不斷提高,測(cè)控系統(tǒng)的結(jié)構(gòu)日趨復(fù)雜,設(shè)計(jì)人員很難在短時(shí)間內(nèi)就對(duì)整個(gè)系統(tǒng)理解無(wú)誤,軟件的設(shè)計(jì)與調(diào)試不可能一次就很順利的完成,有些問(wèn)題是在運(yùn)行的過(guò)程中逐步的暴露出來(lái)的,這樣就要求編制的軟件能夠易于理解和修改,在軟件的設(shè)計(jì)方法中,結(jié)構(gòu)化設(shè)計(jì)是一種最好的設(shè)計(jì)方法,這種設(shè)計(jì)方法是從整體到局部,然后由局部再到細(xì)節(jié),先考慮整個(gè)系統(tǒng)要實(shí)現(xiàn)的功能,確定整體的目標(biāo),然后把這個(gè)目標(biāo)分成一個(gè)個(gè)任務(wù),任務(wù)中又可以分成若干小的任務(wù),這樣逐層細(xì)分,逐層實(shí)現(xiàn)它的功能,最后完全實(shí)現(xiàn)。本系統(tǒng)采用模塊化設(shè)計(jì)方法,這樣不但使得設(shè)計(jì)的目標(biāo)明確,思路清晰,而且在檢錯(cuò)、調(diào)試時(shí)候也很方便.如果每個(gè)子程序單獨(dú)運(yùn)行正確,那么當(dāng)如積木一樣,把它們聯(lián)合起來(lái)的時(shí)候,只要安排恰當(dāng),一般來(lái)說(shuō)不會(huì)有什么問(wèn)題,當(dāng)然也不排除個(gè)別問(wèn)題的產(chǎn)生,當(dāng)有問(wèn)題的時(shí)候,可以根據(jù)問(wèn)題的種類和現(xiàn)象來(lái)判斷出是哪一部分出的問(wèn)題,很容易找出故障所在和原因。同時(shí),采用模塊化程序設(shè)計(jì)結(jié)構(gòu)的設(shè)計(jì)方案,對(duì)于系統(tǒng)功能的擴(kuò)充和修改也提供了很大的幫助和方便。3.2主程序的軟件設(shè)計(jì)在軟件控制上,由于方案要求產(chǎn)生的10KHz頻率步進(jìn)增加,所以對(duì)AD9850頻率調(diào)制字改變也應(yīng)是快速的。AD9850調(diào)制字(TuningWords)的裝入采用異步串行接口UART,同時(shí)兼顧到單片機(jī)I/O口的分配和對(duì)調(diào)制字裝入速度的要求,為了加快掃頻和掃描速度,將系統(tǒng)時(shí)鐘改成最大值49MHz,以加快掃頻和掃描速度.另外,由于硬件采集系統(tǒng)無(wú)法達(dá)到全頻段的穩(wěn)定性,在收集頻譜樣值后我們通過(guò)軟件對(duì)其進(jìn)行一定的校準(zhǔn)處理:根據(jù)固定輸入信號(hào)的幅值,對(duì)全頻段掃描結(jié)果并記錄比較,設(shè)計(jì)校準(zhǔn)曲線,來(lái)達(dá)到良好的穩(wěn)定性,彌補(bǔ)硬件頻率失真帶來(lái)的誤差,提高頻譜測(cè)量?jī)x的精度。軟件還對(duì)數(shù)據(jù)進(jìn)行分析,根據(jù)頻譜特性判別是AM、FM或單頻波,計(jì)算調(diào)制深度或調(diào)頻系數(shù).3.1主程序流程圖4系統(tǒng)調(diào)試與指標(biāo)測(cè)試4。1硬件調(diào)試先對(duì)各個(gè)模塊進(jìn)行獨(dú)立調(diào)試,各個(gè)模塊成功調(diào)試后,將每個(gè)模塊進(jìn)行級(jí)聯(lián),整體調(diào)試效果。由于被測(cè)信號(hào)是1—30MHZ有效值為20MV的小信號(hào),為提高測(cè)量精度,先將其放大,然后輸入混頻器。上訴部分為高頻部分,為了避免產(chǎn)生自激,我們將其焊接的比較緊密并且讓其遠(yuǎn)離電源,防止干擾。由于輸入信號(hào)的帶寬非常大,我們利用帶寬增益控制器AD603進(jìn)行固定增益放大。4.2軟件調(diào)試測(cè)DDS掃描頻率,觀察10KHZ步進(jìn)的頻率穩(wěn)定性,測(cè)試鍵盤和液顯的操作界面。4.3軟硬聯(lián)合調(diào)試在此過(guò)程中我們發(fā)現(xiàn)檢波電路對(duì)數(shù)據(jù)的采集有非常大的影響,設(shè)計(jì)中,為了加快掃描速度,我們提高了單片機(jī)的時(shí)鐘,但在DDS掃頻時(shí)由于濾波器電容放電需要一定時(shí)間,所以為了保證掃描精度,必須加入延時(shí)以保證電容放電時(shí)間,使相鄰頻點(diǎn)的值不相互影響.4。4指標(biāo)測(cè)試指標(biāo)測(cè)試是很重要的一個(gè)過(guò)程,它決定著整個(gè)系統(tǒng)是否起作用,各個(gè)模塊是否有著很好的連接等重要問(wèn)題。4.4.1儀器測(cè)試◆TektronixTDS1012雙通道數(shù)字示波器

100MHz◆HT-1714C型直流穩(wěn)壓電源◆TFG2030DDS函數(shù)信號(hào)發(fā)生器

30MHz

◆UT56Multimeter數(shù)字萬(wàn)用表4.4。2指標(biāo)測(cè)試(1)頻率范圍測(cè)試:?測(cè)試條件:輸入信號(hào)有效值20mV。表4.1

頻率范圍測(cè)試輸入頻率fi/MHZ12345678910示波器顯示電壓/V4.24.24.24.24。44.04.04.03.84.0測(cè)得輸入信號(hào)有效值/MV21212122202020201920輸入頻率fi/MHZ11121314151617181920示波器顯示電壓/V4.03.83。83.83。83。84.03。84.03.8測(cè)得輸入信號(hào)有效值/MV20191919191920192019輸入頻率fi/MHZ21222324252627282930示波器顯示電壓/V3.83.83.83。83。84.04。03.83.84。0測(cè)得輸入信號(hào)有效值/MV19191919192020191920結(jié)果:最大誤差2MV幅度精度測(cè)試:(示波器顯示幅值和測(cè)得相應(yīng)頻點(diǎn)幅度有效值)表4。2

幅度精度測(cè)試輸入幅度有效值Fo=1MHZFo=10MHZFo=20MHZFo=30MHZ15MV3.2V/16MV3V/15MV3.2V/16MV2.6V/13MV20MV4.1V/23MV4V/20MV4V/20MV3。8V/19MV25MV4.6V/23MV4.9V/24.5MV5V/25V4.6V/23MV結(jié)果:在測(cè)量范圍內(nèi),幅度精度較高,誤差在2mV以內(nèi).?(3)識(shí)別調(diào)幅,調(diào)頻,等幅波測(cè)試:?①輸入等幅波,可精確掃描到中心頻率點(diǎn)。

②輸入調(diào)幅波調(diào)制度為30%,調(diào)制信號(hào)頻率為20KHz,中心頻率Fo=10MHz,載波信號(hào),幅度500mV峰峰值.

結(jié)果:三條譜線,中心頻率10MHz.兩邊頻率為9.98MHz和10.02MHz,中間幅度/邊頻幅度約為1/6,可計(jì)算出調(diào)制度為33%。

③輸入調(diào)頻波頻偏為20KHz,調(diào)制信號(hào)頻率為1KHz,中心頻率2MHz載波信號(hào),幅度600mV峰峰值。

結(jié)果:可以看到中心頻率值左右有多條譜線,但幅度不同,可以算出中心頻率2MHz。

分析:因?yàn)閽哳l是測(cè)量某一瞬態(tài)的頻譜,對(duì)于調(diào)頻波這種變頻信號(hào),不同瞬態(tài)的頻譜圖不一樣。頻率最大值會(huì)在頻偏范圍內(nèi)擺動(dòng),且每隔1KHz的頻譜超過(guò)了我們的分辨率,所以我們測(cè)得的調(diào)頻信號(hào)頻譜不是特別理想。但仍然可以根據(jù)譜線分布特征判斷出為調(diào)頻波的中心頻率。結(jié)論畢業(yè)設(shè)計(jì)已接近尾聲,在這三年里相信很多同學(xué)都有一樣的感觸,時(shí)光飛逝.轉(zhuǎn)眼已進(jìn)入倒計(jì)時(shí)階段,回顧這段經(jīng)歷,有苦澀,也有歡心.而在這之中,最重要的是我們都收獲了屬于自己的財(cái)富.本設(shè)計(jì)主要利用超外差原理實(shí)現(xiàn)了對(duì)信號(hào)頻譜分析的功能,覆蓋了1—30MHZ的頻譜范圍.對(duì)電壓值的標(biāo)定采用對(duì)比法,能得到很高的測(cè)量幅度與精度。通過(guò)示波器顯示頻譜值,并且可以根據(jù)使用需要設(shè)置中心頻率和顯示帶寬.我們應(yīng)用了集成度較高的芯片構(gòu)成各功能模塊,提高了整個(gè)系統(tǒng)的穩(wěn)定性和精度。在設(shè)計(jì)中各個(gè)模塊的設(shè)計(jì)都很重要,只有掌握好各種芯片的性能指標(biāo),使每級(jí)輸入的幅度和頻率都適合于能使他們發(fā)揮最好的效果,并且要注意各級(jí)的級(jí)聯(lián),否則會(huì)影響整個(gè)系統(tǒng)的性能。通過(guò)這一綜合的實(shí)踐,很好的提高了自己的實(shí)踐操作能力,并對(duì)所學(xué)過(guò)的相關(guān)專業(yè)知識(shí)內(nèi)容進(jìn)行了回顧與整合。鍛煉了自己的綜合能力,我覺(jué)得這才是最終設(shè)計(jì)的意義所在??吹降氖莿e人的,聽到的也未必是自己的,只有自己做過(guò)的才真正是屬于自己的,才算是收獲。相信所有的付出都必定是物有所值的.致謝在論文即將完成之際,由衷的向給予我悉心指導(dǎo)的導(dǎo)師高明亮老師致以真誠(chéng)的謝意.感謝高老師這學(xué)期以來(lái)對(duì)論文的反復(fù)的審查和修改,并提出了許多寶貴的意見,指導(dǎo)我查找相關(guān)文獻(xiàn)。高老師治學(xué)嚴(yán)謹(jǐn),學(xué)識(shí)淵博,視野開闊,為我營(yíng)造了一種良好的學(xué)習(xí)氛圍.在這學(xué)期的設(shè)計(jì)當(dāng)中我遇到了許多困難。通過(guò)高老師和同學(xué)們的幫助得以順利解決。是他給予我的悉心幫助,讓我順利的完成了畢業(yè)設(shè)計(jì)。高老師嚴(yán)謹(jǐn)求實(shí)的學(xué)術(shù)風(fēng)范和平易近人的人格魅力,令每一位師從他的弟子如沐春風(fēng)一般,倍感溫馨.在課題選擇和論文寫作過(guò)程中,正是高老師的精心指導(dǎo)和莫大幫助,使很多難題迎刃而解。高老師嚴(yán)謹(jǐn)求實(shí)的作風(fēng)、博大精深的學(xué)術(shù)知識(shí)、誨人不倦的優(yōu)良品格將深深影響著我今后的學(xué)習(xí)與生活,也將是我始終不變的目標(biāo)。由衷的將祝福送給每一位幫助過(guò)我的老師和同學(xué)們。畢業(yè)論文的順利完成還要衷心感謝我的朋友們,是他們帶給了我很多快樂(lè),伴我走過(guò)了人生的一個(gè)重要階段。在此,謹(jǐn)向他們表示深深的謝意.參考文獻(xiàn)[1]全國(guó)大學(xué)生電子設(shè)計(jì)競(jìng)賽組委會(huì).全國(guó)大學(xué)生電子設(shè)計(jì)競(jìng)賽獲獎(jiǎng)作品精選(2003)[M],北京:北京理工大學(xué)出版社,2005。[2]謝自美.電子線路設(shè)計(jì)·實(shí)驗(yàn)·測(cè)試(第二版)[M]。武漢:華中理工出版社,2000。[3]何立民。單片機(jī)應(yīng)用系統(tǒng)設(shè)計(jì)[M],北京:北京航空航天大學(xué)出版社,1990.[4]薛均義,張彥斌,虞鶴松等.凌陽(yáng)十六位單片機(jī)原理及其應(yīng)用[M].北京:北京航空航天大學(xué)出版社,2003.[5]王幸之,鐘受琴,王雷,王閃。AT89系列單片機(jī)原理與接口技術(shù)[M],北京:北京航空航天大學(xué)出版社,2004.[6]鄭君里,應(yīng)啟珩,楊為理。信號(hào)與系統(tǒng)[M].北京:高等教育出版社,2000,5:157-160。[7]閆海剛.通信信號(hào)的頻譜分析及顯示系統(tǒng)設(shè)計(jì)[D]。電子科技大學(xué)碩士學(xué)位論文2005年6月.[8]李廣弟.單片機(jī)基礎(chǔ)[M],北京:北京航空航天大學(xué)出版社,1994。[9]江煒寧.?dāng)?shù)字下變頻FFT及其在頻譜分析儀中的實(shí)現(xiàn)[J]。電子測(cè)試技術(shù)國(guó)家重點(diǎn)試驗(yàn)室,2007,5(26):6—8.[10]彭宣戈.16位單片機(jī)原理及應(yīng)用[M]。北京:北京航空航天大學(xué)出版社。2006:135—137.[11]劉樹林.低頻電子線路[M].電子工業(yè)出版社,2003,8.[12]Altera。cyclone3_handbook。2007,7.html/E/P/3/EP3C40F[13]GromannC,BalkauKH,HighspeedcommunicationsusingRS422/RS485protocol[J],ElektronikIndustrie,2001,32(9):34-37。[14]Luen,ZhangBu—han,LuYi—min,Automaticmeterreadingsystemsolutionanditsrealizat(yī)ion[J],ElectricPowerAutomationEquipment,2003,23(6):68—70.[15]TianYewLimTat-WaiChan。Experimentingremotekilowat(yī)thourmeterreadingthroughlow—voltagepowerlinesatdensehousingestat(yī)es[J].IEEETransactionsonPowerDelivery,2002,17:(3):15-19.?附錄源程序清單:#include"math.h"#include"hardware.inc"#include"SPCE061V004.H"#defineHaveKey1#defineNoKey0unsignedintKeyVal;unsignedintKeyDownTimes;//保存按鍵次數(shù)unsignedintKeyFlag;? ? ? ??//按鍵標(biāo)識(shí)charKeyData;unsignedintKey,sflag=0;chardata;floattemp;unsignedintflow,fhigh,N,xstep,ystep,n,m,val,z,lsflag,p,ma,q;floattempma;#defineNONE0x0#defineSIN0x1#defineAM0x2#defineFM0x3#defineUNKNOWN0x4#defineVAL0xf000unsignedintDDS_dat(yī)a1,DDS_data2,DDS_data3,DDS_1,DDS_2,DDS_3,DDS_4,DDS_5;longintdds_ctrl=0x108c3funsignedintv[1024],fexist[50],vexist[50],tempv;unsignedinti,j,k,type,centralf,ctrlVx,ctrlVy,o;unsignedinten[6];unsignedintensize,enflagMK,enflagfw,endata;unsignedintwdat(yī)a,fdata,dotflag,mo,b,pbflag=0;voiddispb(){?for(b=0;b<=N;b++)?{ if(b%100==0&v[b]==0)v[b]=20; }}voiddelpb(){?for(b=0;b〈=N;b++)?{ ?if(b%100==0&v[b]==20)v[b]=0; }}voidmodify(){?floattempa; clearwd(); if(i>1400)mo+=30;}voidaxis(){?unsignedintx,temp; temp=fdata-100;?if(temp<wdata/2)?{??flow=0;? fhigh=wdata; fdata=(fhigh+flow)/2+100;? WrAdd(0x83);??displayf(fdata);?}?elseif((temp+wdata/2)〉2900) { fhigh=2900; flow=2900-wdata; fdata=(fhigh+flow)/2+100;?WrAdd(0x83);?displayf(fdata);?} else {?fhigh=temp+wdata/2; flow=temp-wdata/2;?} clearwd();?N=fhigh-flow;?xstep=1024/N;?for(x=0;x〈=N;x++) { ?v[x]=*(Addr+flow+x); ?if(v[x]==0&(flow+x)%100==0)v[x]=20; ?if(x==100)v[x]=1000;? elseif(x%100==0)v[x]=50;? elsev[x]=0; }?clearwd();?if(type==FM&flow〈=20) { for(x=0;x<65;x++) v[x]=0; }}voiddisplay1(){?N=fhigh-flow; xstep=1024/N; ctrlVx=0;?for(z=0;z〈=N;z++) { ctrlVy=0;??ctrlVx+=xstep; ?while(ctrlVy<=v[z]) ?{ ?sendxy();? ctrlVy+=10;??} clearwd();?}}voidincf(){ dds_ctrl+=0x0053e2d;//0x20c49ba;//?DDS_5=dds_ctrl&0x000000ff; DDS_4=(dds_ctrl>〉8)&0x000000ff; DDS_3=(dds_ctrl>>16)&0x000000ff;?DDS_2=(dds_ctrl〉>24)&0x000000ff; DDS_data1=dds_ctrl&0x0000ffff; DDS_data2=dds_ctrl>>16;}voidjudgetype(){ unsignedinttemp;?k=0;?q=0;?fdata=1000; for(j=0;j<=2900;j++)?{??getvalue(); ?if(tempv>0x0060)? {??fexist[k]=j; vexist[k]=tempv;? k++;??} ?clearwd(); } if(k==0)type=NONE;?elseif(k==1) { type=SIN;? centralf=fexist[0]+100;?}?elseif(k==2)?{ ?type=AM; centralf=(fexist[0]+fexist[1])/2+100;?}?elseif(k==3)?{ ?if((fexist[1]-fexist[0])==(fexist[2]-fexist[1])) ?{? type=AM;? ?centralf=fexist[1]+100;? } ?elsetype=UNKNOWN; }elseif(k%2==1)type=UNKNOWN;else{if((fexist[1]-fexist[0])==(fexist[k-1]—fexist[k-2])){type=FM;centralf=fexist[k/2]+100;}elsetype=UNKNOWN;}?else?{ ?type=FM;??temp=vexist[0];? q=0; ?for(p=1;p<k;p++)? { if(vexist[p]>temp)? {???temp=vexist[p];???q=p;??} }? centralf=fexist[q]+100; ?temp=(centralf/100+1)*100; if(centralf%100>(temp-centralf))centralf=temp;??elsecentralf=centralf/100*100; }}voidDDSstart(){ WrCom(0x01); WrDat('S’);?WrDat(’c');?WrDat(’a’);?WrDat('n');?WrDat(yī)('n'); WrDat(’i’); WrDat(’n’); WrDat('g’);?WrDat('.'); WrDat(’。'); WrDat(yī)('.’); WrDat('');?WrDat('.’); WrDat(yī)(’.');?WrDat(’?!?;?WrAdd(0xc0);?WrDat(’P’);?WrDat('l');?WrDat('e’);?WrDat('a’);?WrDat(’s'); WrDat(yī)(’e’); WrDat(’');?WrDat(yī)(’w'); WrDat('a'); WrDat('i’); WrDat(’t');?clearflash(); dds_ctrl=0x20d130e;//0x20c49ba;//0x108c3f DDS_data1=dds_ctrl&0x0000ffff; DDS_data2=dds_ctrl>>16; DDS_data3=0; DDS1(); DDS1(); for(i=0;i<=2900;i++) {??DDS1();? //send603ctrlv();??o=8000;? while(o--);??incf();? Sample();? clearwd();?}?judgetype();}intcountf(){?intj,n;?for(j=0;j<6;j++) {? if(en[j]==11) ?{? n=j;break;? } }?if(enflagMK==0)?{ ??for(j=0;j<n;j++)??{? if(en[j]==10) ? {?? dotflag=1;?? ?if(j==1)??? { ?? endata=en[0]*100; ?? if(n==3)? ? ?{endata+=en[2]*10;}? ?? elseif

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論