微電子工藝介紹_第1頁
微電子工藝介紹_第2頁
微電子工藝介紹_第3頁
微電子工藝介紹_第4頁
微電子工藝介紹_第5頁
已閱讀5頁,還剩4頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

微電子工藝引論硅片、芯片的概念硅片:制造電子器件的根本半導(dǎo)體材料硅的圓形單晶薄片芯片:由硅片生產(chǎn)的半導(dǎo)體產(chǎn)品*什么是微電子工藝技術(shù)?微電子工藝技術(shù)主要包括哪些技術(shù)?微電子工藝技術(shù):在半導(dǎo)體材料芯片上承受微米級(jí)加工工藝制造微小型化電子元器件和微型化電路技術(shù)主要包括:超精細(xì)加工技術(shù)、薄膜生長(zhǎng)和掌握技術(shù)、高密度組裝技術(shù)、過程檢測(cè)和過程掌握技術(shù)等集成電路制造涉及的五個(gè)大的制造階段的內(nèi)容硅片制備:將硅從沙中提煉并純化、經(jīng)過特別工藝產(chǎn)生適當(dāng)直徑的硅錠、將硅錠切割成用于制造芯片的薄硅片芯片制造:硅片經(jīng)過各種清洗、成膜、光刻、刻蝕和摻雜步驟,一整套集成電路永久刻蝕在硅片上芯片測(cè)試/揀選:對(duì)單個(gè)芯片進(jìn)展探測(cè)和電學(xué)測(cè)試,選擇出可承受和不行承受的芯片、為有缺陷的芯片做標(biāo)記、通過測(cè)試的芯片將連續(xù)進(jìn)展以后的步驟抽空形成裝配包、將芯片密封在塑料或陶瓷殼內(nèi)終測(cè):為確保芯片的功能,對(duì)每一個(gè)被封裝的集成電路進(jìn)展電學(xué)和環(huán)境特性參數(shù)的測(cè)試IC工藝前工序、IC工藝后工序、以及IC工藝關(guān)心工序IC〕淀積(如濺射、蒸發(fā))等摻雜技術(shù):主要包括集中和離子注入等技術(shù)圖形轉(zhuǎn)換技術(shù):主要包括光刻、刻蝕等技術(shù)IC工藝后工序:劃片、封裝、測(cè)試、老化、篩選IC工藝關(guān)心工序:超凈廠房技術(shù)超純水、高純氣體制備技術(shù)光刻掩膜版制備技術(shù)材料預(yù)備技術(shù)微芯片技術(shù)進(jìn)展的主要趨勢(shì)提高芯片性能〔速度、功耗、提高芯片牢靠性〔低失效、降低芯片本錢〔減小特征尺寸,增加硅片面積,制造規(guī)模〕什么是關(guān)鍵尺寸〔CD〕?芯片上的物理尺寸特征稱為特征尺寸,特別是硅片上的最小特征尺寸,也稱為關(guān)鍵尺寸或CD半導(dǎo)體材料本征半導(dǎo)體和非本征半導(dǎo)體的區(qū)分是什么?本征半導(dǎo)體:不含任何雜質(zhì)的純潔半導(dǎo)體,其純度在99.999999%〔8~10個(gè)9〕為何硅被選為最主要的半導(dǎo)體材料?硅的豐裕度——制造本錢低熔點(diǎn)高(1412OC)——更寬的工藝限度和工作溫度范圍SiO2的自然生成GaAs相對(duì)Si的優(yōu)點(diǎn)和缺點(diǎn)是什么??jī)?yōu)點(diǎn):比硅更高的電子遷移率,高頻微波信號(hào)響應(yīng)好——無線和高速數(shù)字通信抗輻射力量強(qiáng)——軍事和空間應(yīng)用電阻率大——器件隔離簡(jiǎn)潔實(shí)現(xiàn)主要缺點(diǎn):沒有穩(wěn)定的起鈍化保護(hù)作用的自然氧化層晶體缺陷比硅高幾個(gè)數(shù)量級(jí)本錢高圓片的制備兩種根本的單晶硅生長(zhǎng)方法。直拉法〔CZ法、區(qū)熔法晶體缺陷依據(jù)維數(shù)可分為哪四種?點(diǎn)缺陷—空位、自填隙等線缺陷—位錯(cuò)面缺陷—層錯(cuò)體缺陷*畫出圓片制備的根本工藝步驟流程圖,并繪出其中任意三個(gè)步驟的主要作用。沾污掌握凈化間污染分類顆粒、金屬雜質(zhì)、有機(jī)物沾污、自然氧化層、靜電釋放ES半導(dǎo)體制造中,可以承受的顆粒尺寸粗略法則必需小于最小器件特征尺寸的一半。金屬污染的主要來源:離子注入、學(xué)品與傳輸管道反響、學(xué)品與容器反應(yīng)*超凈服的目標(biāo):對(duì)身體產(chǎn)生的顆粒和浮質(zhì)的總體抑制超凈服系統(tǒng)顆粒零釋放對(duì)ESD的零靜電積存無化學(xué)和生物剩余物的釋放什么是可動(dòng)離子污染?如鈉,就是最常見的可移動(dòng)離子沾污物,而且移動(dòng)性最強(qiáng)靜電釋放的概念及帶來的問題概念:靜電釋放也是一種形式的沾污,由于它是靜電荷從一個(gè)物體向另一個(gè)物體未經(jīng)掌握地轉(zhuǎn)移,可能損壞芯片〕發(fā)生在幾個(gè)納秒內(nèi)的靜電釋放能產(chǎn)生超過1A蒸發(fā)金屬導(dǎo)線和穿透氧化層擊穿柵氧化層的誘因吸附顆粒到硅片外表顆粒越小,靜電的吸引作用就越明顯器件特征尺寸的縮小,更需要嚴(yán)格掌握硅片放電芯片生產(chǎn)廠房的七種污染源空氣、廠房、水、工藝用化學(xué)品、工藝氣體、生產(chǎn)設(shè)備芯片外表的顆粒數(shù)與工藝步驟數(shù)之間的關(guān)系圖。硅片清洗目標(biāo):去除全部外表沾污〔顆粒、有機(jī)物、金屬、自然氧化層〕工藝腔內(nèi)的氣體掌握工藝用氣體通常分為哪兩類?通用氣體:氧氣(O2)、氮?dú)?N2)、氫氣(H2)、氦氣(He)和氬氣(Ar),純度要掌握在79〔99.99999%〕以上49〔99.99%〕以上常見的初級(jí)泵和高級(jí)泵。常見的兩種初級(jí)泵:干性機(jī)械泵增壓/調(diào)壓泵:可處理大量氣體而不需要潤(rùn)滑劑,增壓器通常被稱為羅茨增壓泵常見的兩種高真空泵:加速分子泵〔渦輪泵:是一種多用途、牢靠的干凈泵,運(yùn)作機(jī)理是機(jī)械化的壓縮冷凝泵:是一種俘獲式泵,它通過使氣體分散并俘獲在泵中的方式去除工藝腔體中的氣體質(zhì)量流量計(jì)〔MFC〕的概念利用氣體的熱傳輸特性,直接測(cè)量進(jìn)入腔體的質(zhì)量流量比率,來掌握進(jìn)入腔體的氣流殘氣分析器〔RGA〕最常見的用途和根本構(gòu)成。用途:a)用來檢驗(yàn)殘留在已清空系統(tǒng)中的氣體分子的類型檢漏工藝中的故障查詢根本構(gòu)成:氧化氧化物的兩種產(chǎn)生方式熱氧化生長(zhǎng)、淀積氧化層在芯片制備中有哪幾方面的應(yīng)用?保護(hù)器件免受劃傷和隔離污染限制帶電載流子場(chǎng)區(qū)隔離〔外表鈍化〕柵氧或儲(chǔ)存器單元構(gòu)造中的介質(zhì)材料摻雜中的注入掩蔽金屬導(dǎo)電層間的介質(zhì)層外表鈍化的概念SiO2可以通過束縛Si的懸掛鍵,從而降低它的外表態(tài)密度,這種效果稱為外表鈍化;能防止電性能退化,并削減由潮濕、離子或其他外部污染物引起的漏電流的通路關(guān)于氧化的兩種化學(xué)發(fā)應(yīng)干氧氧化 Si〔固〕+O2〔氣〕 SiO2〔固〕濕氧氧化 Si〔固〕+2H2O〔水汽〕 SiO2〔固〕+2H2〔氣〕*氧化物生長(zhǎng)的兩個(gè)階段及生長(zhǎng)厚度的公式:線性階段氧化物生長(zhǎng)厚度 X=(B/A)t拋物線階段 X=(Bt)1/2注:X:氧化物生長(zhǎng)厚度 B/A:為線性速率系數(shù),溫度上升系數(shù)增大B:拋物線速率系數(shù) t:為生長(zhǎng)時(shí)間用于熱工藝的三種根本設(shè)備臥式爐、立式爐、快速熱處理〔RTP〕硅的局部氧化〔LOCOS〕剖面圖摻雜摻雜的兩種方法熱集中:利用高溫驅(qū)動(dòng)雜質(zhì)穿過硅的晶格構(gòu)造。這種方法受到時(shí)間和溫度的影響離子注入:通過高壓離子轟擊把雜質(zhì)引入硅片現(xiàn)代晶片制造中幾乎全部摻雜工藝都是使用離子注入列舉半導(dǎo)體制造中常用的四種雜質(zhì),并說明是n型還是p型集中發(fā)生需要的兩個(gè)必要條件濃度差過程所必需得能量熱集中的三個(gè)步驟,以及它們的作用(1)預(yù)淀積:a) 為整個(gè)集中過程建立濃度梯度b) 800~10000C(2)推動(dòng):a)將由預(yù)淀積引入的雜質(zhì)作為集中源,在高溫下進(jìn)展集中。目的是為了掌握外表濃度和集中深度b)1000~12500C(3)激活:稍為上升爐溫,使雜質(zhì)原子與晶格中的硅原子鍵合*離子注入的優(yōu)缺點(diǎn)優(yōu)點(diǎn):準(zhǔn)確掌握雜質(zhì)含量、很好的雜質(zhì)均勻性、對(duì)雜質(zhì)穿透深度有很好的掌握、產(chǎn)生單一離子束、低溫工藝、注入的離子能穿過薄膜、無固溶度極限缺點(diǎn):a)高能雜質(zhì)離子轟擊硅原子將對(duì)晶體構(gòu)造產(chǎn)生損傷〔可用高溫退火進(jìn)展修復(fù)〕b)注入設(shè)備的簡(jiǎn)單性〔這一缺點(diǎn)被注入機(jī)對(duì)劑量和深度的掌握力量及整體工藝的敏捷性彌補(bǔ)〕重要的離子注入?yún)?shù)劑量、射程劑量和能量的公式劑量的計(jì)算公式:Q=(It)/(enA) I為束流,單位是庫(kù)侖每秒〔安培〕t為注入時(shí)間,單位是秒e1.6x10-19庫(kù)侖A是注入面積,單位是cm2描述能量的公式為:KE=nV KE為能量,單位是電子伏特〔eV〕n為離子電荷V為電勢(shì)差,單位是伏特離子注入設(shè)備的五個(gè)主要子系統(tǒng)離子源:從氣態(tài)或固態(tài)雜質(zhì)中產(chǎn)生正離子引出電極〔吸極--離子源中產(chǎn)生的全部正離子,并使它們形成離子束,子分析器--將需要的雜質(zhì)離子從混合的離子束中分別出來加速管:為了獲得更高的速度,正離子還要在加速管中的電場(chǎng)下進(jìn)展加速掃描系統(tǒng):聚束離子束通常很小,必需通過掃描掩蓋整個(gè)硅片,掃描的方式有兩種固定硅片,移動(dòng)束斑——中低電流注入固定束斑,移動(dòng)硅片——大電流注入工藝室:離子束向硅片的注入發(fā)生在工藝腔中,包括掃描系統(tǒng)、具有真空鎖的裝卸硅片的終端臺(tái)、硅片傳輸系統(tǒng)和計(jì)算機(jī)掌握系統(tǒng)退火的目的是什么?高溫退火和RTA哪個(gè)更優(yōu)越?退火的目的:退火能夠加熱被注入硅片,修復(fù)晶格缺陷;還能使雜質(zhì)原子移動(dòng)到晶格點(diǎn),將其激活800~100030分鐘在此溫度下,硅原子重移回晶格位置,雜質(zhì)原子也能替代硅原子位置進(jìn)入晶格此方法可能會(huì)導(dǎo)致雜質(zhì)的集中快速熱退火〔RT:用極快的升溫順在目標(biāo)溫度〔一般是1000℃〕短暫的持續(xù)時(shí)間對(duì)硅片進(jìn)展處理快速的升溫過程和短暫的持續(xù)時(shí)間能夠在晶格缺陷的修復(fù)間取得優(yōu)化描述溝道效應(yīng),掌握溝道效應(yīng)的四種方法溝道效應(yīng):當(dāng)注入離子未與硅原子碰撞減速,而是穿透了晶格間隙時(shí),就發(fā)生了溝道效應(yīng)注入過程中有4種方法掌握溝道效應(yīng):* 傾斜硅片 * 掩蔽氧化層* 硅預(yù)非晶化* 使用質(zhì)量較大的原子列舉十個(gè)使用離子注入的摻在工藝〔1〕深埋層〔2〕倒摻雜阱〔3〕穿通阻擋層〔4〕閾值電壓調(diào)整〔5〕輕摻雜漏區(qū)〔LDD〕源漏注入〔7〕多晶硅柵〔8〕溝槽電容器〔9〕超淺結(jié)〔10〕絕緣體上硅〔SOI〕光刻光刻的概念及本質(zhì):光刻指的是將圖形轉(zhuǎn)移到一個(gè)平面的任一復(fù)制過程光刻的本質(zhì)是把臨時(shí)電路構(gòu)造復(fù)制到以后要進(jìn)展刻蝕和離子注入的硅片上在主流微電子制造過程中,光刻是最簡(jiǎn)單、最昂貴和最關(guān)鍵的工藝*光刻工藝的八個(gè)根本步驟:氣相成底膜、旋轉(zhuǎn)涂膠、軟烘、對(duì)準(zhǔn)和曝光、曝光后烘焙、顯影、堅(jiān)膜烘焙、顯影檢查光刻膠的概念及目的:概念:一種有機(jī)化合物,受紫外光曝光后,在顯影液中的溶解度會(huì)發(fā)生變化目的:〔1〕將掩模幅員案轉(zhuǎn)移到硅片外表頂層的光刻膠中〔2〕在后續(xù)工藝中,保護(hù)光刻膠下面的材料〔如刻蝕或離子注入的阻擋層〕光刻膠顯影參數(shù):顯影溫度、顯影時(shí)間、顯影液量、當(dāng)量濃度、清洗、排風(fēng)、硅片吸盤*正膠和負(fù)膠顯影效果:正性光刻膠:曝光區(qū)域溶解于顯影液,顯影后圖形與掩模幅員形一樣負(fù)性光刻膠:曝光區(qū)域不溶解于顯影液,顯影后圖形與掩模幅員形相反常用于光學(xué)光刻的兩種紫外光源汞燈、準(zhǔn)分子激光反射切口、駐波的概念、抗反射涂層的作用反射切口:在刻蝕形成的垂直側(cè)墻外表,反射光入射到不需要曝光的光刻膠中就會(huì)形成反射切口駐波:入射光與反射光放射干預(yù)引起;引起隨光刻膠厚度變化的不均勻曝光抗反射涂層:減小光反射和阻擋光干預(yù);20~200nm*區(qū)分率的概念以及計(jì)算概念:區(qū)分率是將硅片上兩個(gè)鄰近的特征圖形區(qū)分開來的力量是光刻中一個(gè)重要的性能指標(biāo)計(jì)算從早期硅片制造以來的光刻設(shè)備可分為哪五代?列舉任意兩種的優(yōu)缺點(diǎn)接觸式光刻機(jī) 優(yōu)點(diǎn):圖像失真小,圖形區(qū)分率高缺點(diǎn):依靠人為操作、簡(jiǎn)潔沾污接近式光刻機(jī) 優(yōu)點(diǎn):掩模版不與光刻膠直接接觸,大大減小了沾污缺點(diǎn):紫外光線通過空氣時(shí)發(fā)散,減小了區(qū)分率掃描投影光刻機(jī)分步重復(fù)光刻機(jī)步進(jìn)掃描光刻機(jī)可以成功代替光學(xué)光刻技術(shù)的四種光刻技術(shù)〔1〕極紫外〔EUV〕光刻技術(shù)角度限制投影電子束光刻技術(shù)〔SCALPEL〕離子束投影光刻技術(shù)〔IPL〕〔4〕X刻蝕刻蝕的概念及其根本目的概念:用化學(xué)或物理方法有選擇地從硅片外表去除不需要的材料的過程根本目的:在涂膠的硅片上正確地復(fù)制掩模圖形兩種根本的刻蝕工藝干法刻蝕:干法刻蝕是用等離子體去除硅片外表材料,物理過程、化學(xué)過程反響的混合濕法腐蝕:用液體化學(xué)試劑去除硅片外表材料等離子體的概念一種中性、高能量、離子化的氣體,包含中性原子或分子、帶電離子和自由電子選擇比的概念同一刻蝕條件下一種材料與另一種材料相比刻蝕速率快多少,定義為被刻蝕材料的刻蝕速率與另一種材料的刻蝕速率的比面掌握是必需的,關(guān)鍵尺寸越小,選擇比要求越高*負(fù)載和微負(fù)載效應(yīng)的概念負(fù)載效應(yīng):要刻蝕硅片外表的大面積區(qū)域,則會(huì)耗盡刻蝕劑濃度使刻蝕速率慢下來;假設(shè)刻蝕的面積比較小,則刻蝕會(huì)快些微負(fù)載效應(yīng):深寬比相關(guān)刻蝕〔ARDE〕——具有高深寬比硅槽的刻蝕速率要比具有低深寬比硅槽的刻蝕速率慢干法刻蝕的應(yīng)用介質(zhì)——氧化物和氮化硅硅——多晶硅柵和單晶硅槽金屬——鋁和鎢濕法腐蝕相比干法刻蝕的優(yōu)點(diǎn)〔1〕對(duì)材料具有高的選擇比〔2〕不會(huì)對(duì)器件帶來等離子體損傷〔3〕設(shè)備簡(jiǎn)潔淀積淀積膜的過程的三種不同階段晶核形成,成束的穩(wěn)定小晶核形成聚攏成束,也稱為島生長(zhǎng)形成連續(xù)的膜化學(xué)氣相淀積〔CVD〕的概念,有哪五種根本化學(xué)反響高溫分解:通常在無氧的條件下,通過加熱化合物分解光分解:利用輻射使化合物的化學(xué)鍵斷裂分解復(fù)原反響:反響物分子和氫發(fā)生的反響氧化反響:反響物原子或分子和氧發(fā)生的反響氧化復(fù)原反響:復(fù)原反響和氧化反響的組合,反響后形成兩種的化合物CVD中質(zhì)量傳輸限制和外表反響掌握限制的概念質(zhì)量傳輸限制CVD反響的速率不行能超過反響氣體傳輸?shù)焦杵系乃俾薀o論溫度如何,假設(shè)傳輸?shù)焦杵獗砑铀俜错懙姆错憵怏w的量都缺乏。在此狀況下,CVD工藝通常是受質(zhì)量傳輸所限制的外表反響掌握限制在更低的反響溫度和壓力下,驅(qū)動(dòng)外表反響的能量更小,外表反響速度會(huì)降低反響物到達(dá)外表的速度將超過外表化學(xué)反響的速度這種狀況下,淀積速度是受化學(xué)反響速度限制的*APCVD、TEOS-O3方法淀積SiO2的反響方程式Si(C2H5O4)+8O3——>SiO2+10H2O+8CO2用PECVD制備SiO2反響方程式LPCVD淀積多晶硅的化學(xué)反響方程式PECVD淀積氮化硅的化學(xué)反響方程式HPCVD工藝的五個(gè)步驟離子誘導(dǎo)淀積:指離子被托出等離子體并淀積形成間隙填充的現(xiàn)象濺射刻蝕:具有肯定能量的Ar和由于硅片偏置被吸引到薄膜的反響離子轟擊外表并刻蝕原子再次淀積:原子從間隙的底部被剝離,通常會(huì)再次淀積到側(cè)壁上熱中性CVD:這對(duì)熱能驅(qū)動(dòng)的一些淀積反響有很小的奉獻(xiàn);反射:離子反射出側(cè)壁,然后淀積,是另一種奉獻(xiàn)〔1〕外延的概念在單晶襯底上淀積一層薄的單晶層外延為器件設(shè)計(jì)者在優(yōu)化器件性能方面供給了很大的敏捷性外延層可以削減CMOS器件中的閂鎖效應(yīng)同質(zhì)外延:生長(zhǎng)的膜和襯底的材料一樣異質(zhì)外延:生長(zhǎng)的膜和襯底的材料不同〔2〕IC制造中一般承受的三種外延方法1、氣相外延VP:常用的硅外延方法,屬于CVD范疇2、金屬有機(jī)CV〔MOCVk絕緣介質(zhì)3、分子束外延MB:用來淀積GaAs格掌握外延層厚度和摻雜的均勻性*介質(zhì)材料的三個(gè)主要用途,其中哪個(gè)的進(jìn)展趨勢(shì)是高K,哪個(gè)是低K?層間介質(zhì)IL、柵氧化層、器件隔離層間介質(zhì)的進(jìn)展趨勢(shì)是低k材料*隨著特征尺寸的減小,門延遲與互連延遲分別怎么變化金屬化金屬化、互連、接觸、

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論