DSP調(diào)制解調(diào)系統(tǒng)設計_第1頁
DSP調(diào)制解調(diào)系統(tǒng)設計_第2頁
DSP調(diào)制解調(diào)系統(tǒng)設計_第3頁
DSP調(diào)制解調(diào)系統(tǒng)設計_第4頁
DSP調(diào)制解調(diào)系統(tǒng)設計_第5頁
已閱讀5頁,還剩19頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權,請進行舉報或認領

文檔簡介

... .. .DSP系統(tǒng)課程設計調(diào)制解調(diào)器系統(tǒng)設計目 錄引言-1-一、設計目的和任務1二、設計內(nèi)容與要求2三、設計方案-23-2-3.2.1.調(diào)制-4-.解調(diào)-4-3.3、硬件原理圖-6-四、系統(tǒng)實現(xiàn)-4.1、硬件部分-12-12-4.2、軟件部分-12-、調(diào)制程序-12-、解調(diào)程序-15-4.3、結語-21-

五、心得體會-22-引言FSK(Frequency-shiftkeying):頻移鍵控頻移鍵控是利用載波的頻率變化來傳遞數(shù)字信息。它是利用基帶數(shù)字信號離散取值特點去鍵控載波頻率以傳遞信息的一種數(shù)字調(diào)制技術。是信息傳輸中使用得較早的一種調(diào)制方式 ,的主要優(yōu)點是:實現(xiàn)起來較容易,抗噪聲與抗衰減的性能較好。在中低速數(shù)據(jù)傳輸中得到了廣泛的應用。最常見的是用兩個頻率承載二進制10FSK2FSK控(2-FSK)f1f21010去控制兩個獨立的振蕩源交替輸出。技術上的FSK有兩個分類,非相干和相干的FSK。在非相干的FSK,瞬時頻率之間的轉移是兩個分立的頻率。在另一方面,在相干頻移鍵控或二進制的 FSK,是沒有間斷期在出信號。在數(shù)字化時代,電腦通信在數(shù)據(jù)線路( 線、網(wǎng)絡電纜、光纖或者無線媒介)上進行傳輸,就是用FSK調(diào)制信號進行的,即把二進制數(shù)據(jù)轉換成FSK信號傳輸,反過來又將接收的FSK信號解調(diào)成二進制數(shù)據(jù),并將其轉換為用高,低電平所表示的二進制語言,這是計算機能夠直接識別的語言。DSP芯片或者通用CPU的硬件平臺,而盡可能多的用軟件來實現(xiàn)通信功能,是現(xiàn)代通信領域廣泛使用的方法。隨著DSP芯片性價比的提高,其在通信、自動控制、儀器儀表等許多領域的應用也越來越廣泛。一、設計目的和任務《DSPDSPDSPDSPProtel99、CCS2.22FSK真,分析仿真結果。.二、設計內(nèi)容與要求繪制具備AD功能的DSPDSP的2FSK2FSK的DSP程序,并給出相應的仿真結果。了解和熟悉DSP綜合試驗箱的結構原理和設置;存儲器、邏輯控制等模塊的原理和配置。開發(fā)工具熟悉DSP開發(fā)系統(tǒng)的連接;進一步熟悉CCS2.2開發(fā)環(huán)境的使用方法。3.DSP結構進一步熟悉DSP的硬件構造,特別是DSP4.DSP最小系統(tǒng)設計繪制DSP最小系統(tǒng)電路圖:外圍存儲器與ADC5.2FSK調(diào)制與解調(diào)理解2FSK調(diào)制與解調(diào)的原理,設計2FSK調(diào)制與解調(diào)的方案,給出具體的實現(xiàn)思路。6.FIR濾波器計算FIR實現(xiàn)所需的參數(shù)。7.FIR濾波器實現(xiàn)編寫FIR濾波器實現(xiàn)的DSP程序。8.2FSK調(diào)制與解調(diào)實現(xiàn)給出2FSK調(diào)制與解調(diào)實現(xiàn)流程圖,編寫相關DSP實現(xiàn)程序。9.仿真驗證2FSK調(diào)制與解調(diào)的DSP程序,給出相應的仿真結果。10.完成課程設計報告。三、設計方案、系統(tǒng)整體的結構圖圖.1系統(tǒng)整體結構圖. .. .2FSK工作原理f1f之間變化。二進制頻移信號可以看成兩個不同載波的二進制振幅鍵控信號的疊加。若二進制2基帶信號的符號1對應于載波頻率f1達式:

,符號0對應于載波頻率f2

,則二進制頻移鍵控信號的時域表S2FSK

[an

g(tnTb

)]cos(

t)[a1 a

g(tnTb

)]cos(

t)(1)2,,,,,

發(fā)送概率P

g(t)

其他

an 發(fā)送概率1P

0tT 1 1 2 2 nbn是a 的反碼,T為碼元持續(xù)時間,通常情況下,g(t)為單個矩形脈沖。nb二進制頻移鍵控(2FSK)是數(shù)字通信中常用的一種調(diào)制方式,其調(diào)制與解調(diào)的方法有很多種。采的復雜程度,提高了系統(tǒng)的靈活性,能夠滿足二進制頻移鍵控各種傳輸協(xié)議的要求。一個簡易的2FSK工作原理如圖2所示。在發(fā)送方,輸入的基帶數(shù)據(jù)信號經(jīng)過調(diào)制和發(fā)送帶通濾波器輸入數(shù)字序列輸出數(shù)字序列輸入數(shù)字序列輸出數(shù)字序列發(fā)噪聲接解調(diào)器送收低帶帶通調(diào)制器 通信道通乘法器濾濾濾波波波器器器延時K個采樣點、2FSK調(diào)制

圖2.2FSK系統(tǒng)工作原理圖2FSK調(diào)制就是把輸人數(shù)字序列變成適合于信道傳輸?shù)淖冾l正弦波,所以2FSK的DSP實現(xiàn)關鍵就是產(chǎn)生正弦或余弦波形。產(chǎn)生正弦波的方法有差分迭代法、泰勒級數(shù)展開法、查表法等多種方法。本文中調(diào)制采用查表法產(chǎn)生正弦波。調(diào)制算法2FSK調(diào)制采用查表法,可以實現(xiàn)較好的實時性,特別適用于通信載波的生成。在DSP的程序存儲空間,使用Q15定點數(shù)格式在[0,2π]上以2π/N的相位間隔固化N點正弦值,以供查表(這些值可由MATLAB軟件首先計算好),在此取N=12。這樣對于F和F的取樣間隔分別為:0 10N

/Fs11/19, 011NF/Fs13/19,發(fā)送數(shù)”時 (2)1使用DSP定時器T0,用來實現(xiàn)對數(shù)據(jù)解調(diào)DAC輸出速率的控制。這樣,如要實現(xiàn)12Kbps的數(shù)傳輸速率,需要將DSP定時器T0的溢出率設置為192KHz。關中斷設置中斷程序入口地址關中斷設置中斷程序入口地址初始化定時器和IMR寄存器接收要發(fā)送的數(shù)據(jù)查找表的首地址開中斷N延時等待(AR7=0?)Y圖關中斷本文使用查表法提供2FSK調(diào)制所需要的兩路正弦sin0_table和"1"是發(fā)送sin11主程序流程如右圖3所示、2FSK解調(diào)

圖3.調(diào)制主程序流程DSPFSK解調(diào)算法針對小型通信系統(tǒng),可以采用一種算法簡單、占用存儲空間小的2FSK本文即采用的這種實時性較高的2FSK算法的基本思想是已調(diào)信號和它的的符號判斷發(fā)送信號的值,從而實現(xiàn)信號的解調(diào)。算法原理圖如下圖4所示。輸入輸入信號放大乘法器V輸出判決Y(n)樣點圖4.2FSK解調(diào)算法原理圖S(nkS(nk)k要小S(nS(nk是屬于同一個二進制碼元的采樣值。S(nS(nkh(n)V(n)S(n)S(nk)A2)(nk]A2

(3) ))]2knh(n的截止頻率設為12KHzMatlab0.22079,通過該低通濾波器后得到:A2Un

0 20

ks),”() 2

s) 2 ————————(4)A cosFkT),”2 1 sk的選擇是設計解調(diào)器的關鍵,應使差值:d(k)kT)kT)|0 s 1 s ———————(5)以得到較好的區(qū)分度。經(jīng)過低通濾波后的數(shù)據(jù)經(jīng)過判決算法后,可以得到最終所要的解調(diào)數(shù)。S(n)DEC_NUM_DONE==1YNN|LPFOUT|-DATA_THD>0?YNLPFOUT>0?YDEC_DATA_CURR=0DEC_DATA_CURR=1DEC_NUM++YNS(n)DEC_NUM_DONE==1YNN|LPFOUT|-DATA_THD>0?YNLPFOUT>0?YDEC_DATA_CURR=0DEC_DATA_CURR=1DEC_NUM++YNDEC_NUM=2YNDEC_DATA_CURR==DEC_DATA_BEYDEC_NUM_X++DEC_NUM=1存儲DEC_DATA_CURRDEC_NUM_X==10?NY返回LPFOUT——濾波器輸出DATA_THD——的閾值DEC_DATA_CURR——DEC_DATA_BE——前一次采樣點判決值DEC_NUM——判決用計數(shù)器DEC_NUM_X——周期計數(shù)器DEC_DATA_BE=DEDEC_NUM_X=0DEC_NUM=0C_DATA_CURRDEC_NUM_DONE=1DEC_NUM_DONE=0當前采樣點判決前一次采樣點判決值,DEC_NUMDEC_DATA_BE=DEDEC_NUM_X=0DEC_NUM=0C_DATA_CURRDEC_NUM_DONE=1DEC_NUM_DONE=0圖5.判決算法流程圖、硬件原理圖音頻接口原理圖3.3GND3.3GNDGNDR4547.5KR4647.5KC110.1uFC120.1uFAV3.311151814U3HPGNDAGNDBVDDHPVDDAVDDDVDDDGND2728C100.1uFVMIN16C130.1uFC14J112345C1519LLIN 20RLINEINLLINEINXTOCLKOUTXTAL1210uFC16220uF910223.3HPOUTGNDLHPOUTRHPOUT220uFMODECSSCLKSDIN21 BFSX12423BCLKX1BDX1BFSX1BCLKX1BDX1J212345C17R14R1310K1718MICBIASMICIN1.0u/16VLOUT12ROUT13MACINGNDR4710KC5847p/50LOUTROUTTLV320AIC23BCLKDINLRCINDOUTLRCOUT34567BCLKX0BDX0BCLKX0BDX04.99KBFSX0BDR0BFSR0BFSX0BDR0BFSR0GND3.33.3BEAD1AV3.3L650C910GNDR48C594.99KR48C594.99K1.0u/16VJ612345R494.99KC6047p/50LLINGNDMACINR50C61GNDRLINGND4.99K1.0u/16VR514.99KC6247p/50XTAL1X116.38MHzEXTAL1C1820pFGNDR44C57R44C57GNDLOUTJ312345100K1.0u/16VGNDR43C56GNDROUTMACIN

100K 10u/166.音頻接口圖

GND此部分為整個系統(tǒng)提供音頻信號的采集輸入。由TLV320AIC23單片機進行模擬信號采集處理,提供三個采集接口和一個輸出接口。電源原理圖

1

N

2 G1

+

+

+

7.電源5V3.3V1.8V并設置了地線。?寄存器原理圖012345 1DDDDDDDDDDDDDDDD

2GN 7891GF

4

62

1

5

73 VLLI1 u DDDDDDDDDD0

2345

CCV

DDDDD

CCV

CCV

01234567

SSVSSV

NDGD012345678911111111

EEEAAAAAAAAAAAAAAAAAA

CWO123458102222222434

614AAAAAAAAAAAAAAA567

EEDAAA

CWR___

AMM0123

XXEEE45

TAARRDDECATAD6ND DDDDDDDDDDDDDDDN

6G78911UFU3 u

4

62

1

5

73 VLLI

0123

456789

0123450 DDDDDDDDDDDDDDDDCCV

33

CCV

012345

SSVSSV

NDGD0123456789111111 E E EAAAAAAAAAAAAAAAA C W O1A444

7

5

12

854012

2P 64D 4C

EW

DAR 5AAAAAAAAAAAAAAAEC_GORP

_ M M 1RPGA A R RRPGD D D1E E D C W R PH_ M M DHEL A A AEL FDMM01234567DDDDDDDD

A 4RD FR12UD UN

3

6

8

3 7

VTSGF2 C 8CCV8

DDDDDDDDDV

E E OC WOSSV

DNG012345278A123456789AAAAAAAAA01

8

6

41

20

11

45116934AAAAAAAAAAAAAAA5678AAAA____11

5.51_A_EXE1 1 50. .50A1A_XE

XXXEEEE圖8.寄存器原理圖外部存儲器,用于接收存儲數(shù)據(jù)。?DSP原理圖3.3 1.82036351

25421826119651U4

C25C260.1uF0.1uF

C270.1uF

C280.1uF

C290.1uFPOWER

3.3

JP11JP112345678910111213141516171819202122232425262728293031323334353637383940

C40C200.1uF0.1uF

C210.1uF

C220.1uF

C230.1uF

C240.1uF

DDDDDDDDDDVVVVVDDDDDGNDHD0GNDHD058HD1HD169HD3HD281HD5HD395HD7HD4120HCNTL1HD5124HBILHD6135HDS2HD76HASHPIEANHCNT9NMIHCNT6INT1INT3HR/W18BFSR0HBIL62BCLKX0BDX0HDS1127BFSR1HDS2129

DDDDDDDDDDVVVVVCCCCCC

D0 99D0

D[0_15]

GNDHP1INTMcBSPIO

HD0HD2HD4HD6HCNTL0HR/WHDS1HCSHINTHRDYINT0INT2BCLKR0BDR0BFSX0BCLKR1BDR1BFSX1XF

BCLKX1BDX1BIO

HCS HAS

HD1HD2HD3HD4HD5HD6HD7HCNTL0HCNTL1HR/WHBILHDS1HDS2HCSHAS

D1D2D3D4D5D6D7D8D9D10D11D12D13D14D15A0A1A2A3

A[0_15]HEADER20X2 JP31 3

GND

HINTHPIEA92HRDY

HINTHPIEANHRDY

A4 A5 A6 A73.3

R17

3.3

5 7 9 11 13

GNDGND

89 TMS88 TCK87 TRST

A8A9A10A11A12A13

5A107A118A129A133.3

5.6K HEADERR20

86 TDI85 TDO84 EMU183 EMU0

A14A15A16A17A18

1011105107108

DSP_A155.6K

GND

R22R2467910K10INT0INT1

NMI63INT064INT165INT266INT36741BFSR043

NMIINT0INT1INT2INT3BCLKR0BFSR0

A19PSDSISR/WMSTRBIOSTRBREADY

10920212223242519READY

READY

R15R16181912322R22

CPLD_PSCPLD_DSCPLD_ISCPLD_RWMSTRBIOSTRB

CPLDPSCPLDDSCPLDISCPLDRWMSTRBIOSTRBBDR045BFSX0BDX059

BDR0BCLKX0BFSX0BDX0

MSC26XF 27HOLDA28IAQ 29HOLD30

R25 R2822R0R31BCLK2BFSR144

BCLKR1BFSR1

BIOMP/MC

BIO

10K10KBCLKX0

BDR147

61 3.3BDX0

BLCKX0

BDR1

IACK82BFSX0BDX0

BCLKX1BFSX154

BCLKX1

TOUT094BDR0BFSR0

BFSX0BDR0BFSR0

BFSX1BDX1

BDX1121215

BFSX1BDX1NCNCNC

CLKOUTCLKMD3CLKMD2CLKMD173

GND

R32R3310K10K3.3GND

S1SW-PB

GND461GND

U5PFIWDIMRVCC

PFORSTWDOVSS

57 DSP_RST83 GND

NCNCNCNCNCNCNCSSSSSSSSSSSSSSSSSSSSSSSS 1 2VVVVVVVVVVVV X

NC 74NC 80NC 90NC 110NC NCSRMAX706TC54

C302TAL1

C31GND22P

40070618 6 7 134557712 9 9

TMS320VC5402PGE100GND

1110.1uF

9.DSP

DSP_RSTTMS320VC5402PGE100DSP1423.3V1.8V兩種,其中3.3VI/OCPUI/OFIR2FSK3.33.3SC_ADA_D[0..7]DA_D[0..7]DSC32 C33 C34 C35 C36 C370.1uF 0.1uF 0.1uF 0.1uF 0.1uF 0.134567012CC38 C390.1uF 0.1uFDDDDDDDD A_3.3________AAAAAAAADGNDKDDDDDDD325431097684162 91L1 9999999 888887773568 39C_U6A[0..15]DA[0..15]AOOOOOOOOIIIIIIII)OO)IIIIIIILY.3.3.3.3.3.3RRRRRRNLOONAD_D[1..8]AD_D[1..8] AD_CLK1AD_D82AD_D75AD_D66AD_D57AD_D48AD_D3AD_D210IOIOIOIOIOIOIOIOOOOOOOVVVVVVVV5555.......2222TTNCCCCCCVVVVVVCCVVIOIOIOIOIOIOIOIO757271A070A169A268A1467A1364PROG_CEPROG_CEIOIOIOIOIOIOIOIO63D061D160D258D357D456D555D654D7D[0..7]AD_CSAD_D1AD_CS141617192021D[0..7]IOIOIOIOIOIOIOIO4CPLD_JTAG_TDIINT1INT0READYIOSTRBLED0LED1INT1INT0222324252728READY29IOSTRB30IOIOIOIOIOIOIOIO/TDIIO/TDOIO/TCKIO/TMS7CPLD_JTAG_TDODRAM_WE62CPLD_JTAG_TCK15CPLD_JTAG_TMSDRAM_RD2CnKLGR2LTTIOIOIOIOIOIOIOIOIO5250494847A15A3A4NN OOOOOOOOGO TEC1EII IIIIIIIIIT UDD DDDDDDDDDDNNGGNNNNNNNNNNGGGGGGGGGGPII INOOOOOOOO46DATA_CE45EX_A1544EX_A16DATA_CE3.3GNDIIIIIIIIEPM3128ATC100-583 97765543218889 EE333444BSR36 R37 R38 R3910k 10K 10k 10k_HH__ 11AAGNDDDSS__LLAAXXPPLL3.3R40CCFFEE10RWR35LED1BSDD__HESSJ41LDDALED221KPLL13CPPLCCCPLD_JTAG_TCKCPLD_JTAG_TDCCPLD_JTAG_TMSCPLD_JTAG_TDILED1R341KLED0AY124CTR4210K_XHeader5X2NUEOGND3.3C DC NV GVCC4 2ADDA轉換原理圖

3.3

GND圖10.EPM原理圖5VP12 GND R11 20KHeader2

U112 1AD_CS

AD_D[1..8]AD_D[1..8]

-15V

R2GND194V 1716

CLKAN_INREFT

OE D1 D2

DA_D1DA_D2DA_D3

0.1uF

20KGND

5V REFTS D3

DA_D4

4 5VC34.7uFGND

C40.1uF

VR110k5VC60.1uF

C80.1uF

5V 2322GND 21201815C7 0.1uF

REFBREFBSAGNDAGNDVDDAVDDAVDDA

D4 7D5 8D6D7 9D8 DGND 2DGND VDDD VDDD

GND5V

DA_D5DA_D6DA_D7DA_D8

2 - V-3+ 8

N1AA1TL082C2

R310K

42 - V-3 +V+8

N1BA1TL082 GNDGND

TLC5510

DA_D4DA_D5DA_D6DA_D7DA_D8DA_D9DA_11

U2DB7DB6DB5DB4DB3DB2DB1

OUT1 1OUT2 2RFB REF VDD GND 3WR

GND5VC5

+15V

GND R95KGND

+15V

21P2Header2AD_CLKAD_CSDA_CS

AD_CLKAD_CSDA_CS

DA_D[0..7]DA_D[0..7]

DA_10

DB0 TLC7524

12 0.1uFDA_CSGND圖11.數(shù)?!?shù)轉換原理圖DAAD,DSP頂層文件原理圖

U_CODECU_CODEC.Sch

U_DSPU_DSP.SchU_PowerU_Power.Sch

U_EPM3128ATC100.SchU_EPM3128ATC100

BFSX1BCLKX1BDX1BCLKR0BCLKX0BDX0BFSX0BDR0BFSR0

BFSX1BCLKX1BDX1BCLKR0BLCKX0BDX0BFSX0BDR0BFSR0IOSTRBMSTRBAD_CLKAD_CLKIOSTRBMSTRBAD_CLKAD_CLKINT0INT1AD_CSAD_CSREADYIOSTRBDA_CSAD_D[1..8]DA_CSAD_D[1..8]CPLD_RWMSTRBDA_D[0..7]DA_D[0..7]CPLD_ISCPLD_PSCPLD_DSA[0..15]D[0..7]DRAM_WEDRAM_RDINT0INT1READYCPLDRWCPLDISCPLDPSCPLDDSPROG_CEFLASH_CEDATA_CEA[0_15]D[0_15]EX_A[15..18]U_memU_mem.Sch51A_PSDA[0..14] 5AD[0..15] 1APDRAM_W_DRAM_RSPDPROG_CEFLASH_CEDATA_CEEX_A[15..18]圖12.頂層文件連接圖PCBPCB10mil,15mil。.四、系統(tǒng)實現(xiàn)、硬件部分protelPCB如前面各圖所示。、軟件部分、2FSK調(diào)制程序2FSKQ15定點數(shù)格式在[0,2π]2π/NN弦值,N=12:x=0:2*pi/12:2*pi;y=32768*sin(x)結果如圖:調(diào)制主程序如下:*****************************************c54init.asm*****************************************.mmregs.includec54.inc.defc54init.sect"progsys"c54init:*SWWSR:SoftWareWait-StateRegisterAddress0028h

**|15 7 | 6 | 5 | 4 | 3 |2 | 1 | 0|**| IPTR |MP/MC | OVLY | AVIS | DROM CLKOFF|SMUL|SST|**|002011111 | 0 | 1 | 0 | 0 |0 | 0 |0 |*1 0 *1 0 3|2 0*| 15 |14 12|11 9|8 6|5 3|2 0* *將中斷向量表映射到2f80*| XPA | I/O | Data | Data Program | Program|*

*MP/MC=0芯片工作在微計算機方式,可以尋址片內(nèi)程序存儲器*IFRorIMR. .. .STM#0x7208,SWWSR ;0111001000001000*I/O空間為7個等待周期*8000h-FFFFh1*0000h-7FFFh0*8000h-FFFFh1*0000h-7FFFh0*SWCR:SoftWareWait-StateConctrolRegisterAddress002Bh**|| 0 |* *|

**|1514|13 | 12| 11 | 10 | 9 | 8| 7 | 6 |5 | 4 | 3 | 2|1 | 0|*|RES|DMAC5|DMAC4|BXINT1|BRINT1|HPINT|INT3|TINT1|DMAC0|BXINT0|BRINT0|TINT0|INT2|INT1|INT0|| | | |DMAC3|DMAC2| | |DMAC1|| | | | | | |** 00 0 0 0 0 0 0 0 00 0 0 0 0 0STM#0xFFFF,IFR*清除掛起的中斷| SWSM | STM#0x0000,IMR ;關閉所有中斷*0STM#0,SWCR *CLKMD*等待周期不變*(STM#1,SWCR等待周期加倍)***|15 12 | 11 | 10 3| 2 |1| 0 |* *BH|BNKCMP| EXIO||PS–DS|Reserved|HBH|* STM#0xF800,BSCR ;1111100000000000*允許修改PMST中的值*在連續(xù)的讀程序或數(shù)據(jù)空間時插入1個額外的等待周期*外部存儲器的空白區(qū)間為4k*ST0* *| 15 13 | 12 | 11 | 10 | 9 |

**|15 12 | 11 |10 3| 2 | 1| 0 |*| PLLMUL |PLLDIV|PLLCOUNT |PLLON/OFF|PLLNDIV| PLLSTATUS |*STM#0,CLKMDclkcon:LDMAND#0x01,ABCclkcon,ANEQSTM#0x43ff,CLKMD ;0100001111111111*利用軟件對CLKMD進行加載(參考教材:P250)*PLL=4MUL=5100Mhz;PLL=3MUL=480Mhz*TCR*8 0 | *|15 12| 11 | 10 |9 6 | 5 |* | ARP | TC | C | OVA | OVB DP |* STM#0,ST0*數(shù)據(jù)頁指針指向0*ST1* *|15|14 |13|12| 11 |10| 9 | 8 | 7 |

4 | 3 0|**|Revd|soft|free|PSC|TRB|TSS| TDDR|*STM#0x0010,TCR1 ;timer1 stopSTM#0x0010,TCR ;TSS=1TimerSTM#1000,TIMSTM#1000,PRD| 5 |4 0| STM#1000,TIM1* STM#1000,PRD1|BRAF|CPL|XF|HM|INTM|0|OVM|SXM|C16|定時中斷周期=CLKOUT*(TDDR+1)*(PRD+1)|CMPT| ASM| RSBXINTM* STM#0x0088,IMRSTM#0x2b00,ST1 ;0010101100000000 *將全局中斷使能*INTM=1將全局中斷禁止 NOP*SXM=1 數(shù)據(jù)進入ALU之前進行符號位擴展 NOP*OVM=1益處控制位(參考ST1資料) RET*PMST.end*********************************************TINT:BTINT0_ISR ;Timer0* Vectors.asmNOP*********************************************NOP.includec54.incrint0:RETE.sect".vectors"NOP.ref_c_int00 ;mainprogromNOP.refTINT0_ISRNOP;.reftimer0,hpisys,usb_readxint0:RETEaligned

onlpage

boundary

;mustbe

NOPRESET: ;resetvector NOPprogrom

B_c_int00 ;branchtomain

DMAC0: RETE

NOPNOP NOPNOP NOPinterruptETandreturnfromoneNOPNOPNOP;NMI~

;enable NOPDMAC1: RETE NOPNOP;softwareinterruptssint17.space4*16sint18.spacesint19.spacesint20.spacesint21.spacesint22.spacesint23.spacesint24.space4*16

NOPint3: RETEHPINT:RETENOPNOPNOP

NOPNOPNOPsint25.space4*16sint26.space4*16sint27.space4*16sint28.space4*16sint29.space4*16sint30.space4*16int0:RETENOPNOPNOPint1:RETENOPNOPNOPint2: NOPNOPNOP

DMAC2:RETE NOPNOPNOPNOPxint1:RETENOPNOPNOPDMAC4:RETENOPNOPNOPDMAC5:RETENOPNOPNOP***********************************************FSK_MOD.CMD************************************************FSK_MOD.CMD***********************************************MEMORY{PAGE0:PROG: origin=0x2000,len0x0f80/*8k-128word*//*4kword*/}{progsys:load=PROG.vectors:load=VECT.data :load=DRAM.bss :load=DRAMPAGE0PAGE0PAGE1alignPAGE1}16VECT: origin=0x2f80,len=0x80/*128word*/SECTIONSPAGE1:DRAM:origin=0x3000,len=0xf80.調(diào)制仿真波形如圖:、2FSK解調(diào)程序

圖14.信號調(diào)制仿真波形本文采用的是實時性較高的2FSK/2判決結果。FIR析等應用中的一個基本的處理算法。與模擬濾波相比,數(shù)字濾波具有很多突出的優(yōu)點,例如它可以滿足濾波器對幅度和相位DSPDSPDSP字濾器,其流程可如右圖所示:圖15.FIR濾波器軟件流程圖

A/D采集一個數(shù)據(jù)數(shù)組數(shù)據(jù)依次左移一個下標新數(shù)據(jù)加入數(shù)組進行乘加運算并輸出結果結果送D/A輸出FIR8,0.8Matlabfreqz0.890. ..40M

200-20-40-60-800 0.1 0.2 0.3 0.4 0.5 0.6 NormalizedFrequency( rad/sample)

0.8 0.9 10edeaP

-2000-4000-6000-80000 0.1 0.2 0.3 0.4 0.5 0.6 NormalizedFrequency( rad/sample)

0.8 0.9 1圖16.低通濾波器的頻率特性本實驗所設計濾波器經(jīng)由Matlab計算出系數(shù),如下:f=[00.80.81];m=[1100];b=fir2(7,f,m);b=b*32768;freqz(b,512,1000)濾波器程序如下:********************************************my_fir.asm*********************************************.title"my_fir.asm".mmregs.def_c_int00.bssy,1 ;yxn.usect"xn",8 h.usect"h",8 ;h;PA0.set0002H;數(shù)據(jù)輸出端口;PA1.set0008H;數(shù)據(jù)輸入端口.bss indata,1.bssoutdata,1.bss Dis_buff,1.datatable:.word137,6,-2635,18941,18941,-2635,6,137_c_int00:SSBXFRCT STM#xn,AR1

圖17.濾波器系數(shù)STM#xn+7,AR3 STM#h+7,AR4 STM#8,BK ;循環(huán)緩沖區(qū)大小STM#-1,AR0 ;指針調(diào)整-1;LD#xn,DP ;DP指向xn;PORTRPA1,xn ;輸入數(shù)據(jù)LD#y,DP ;DP指向yFIR:NOPMVKDindata,*AR3+0%RPTZA,#7MAC*AR3+0%,*AR4+0%,A;A=(AR3)*(AR4)+A,AR3=AR3+AR0,AR4=AR4+AR0;;STH A,outdata;MVDP *(outdata),NOPSTHA,y NOP;PORTWy,PA0 ;輸出結果. ..RPT#7BFIR;延時跳轉ST#0,*AR1+;把x(n)-x(n-7)賦始值0;PORTRPA1,*AR3+0%;新數(shù)據(jù)覆蓋了最舊的數(shù)STM#h,AR1據(jù)RPT#7.endMVPD#table,*AR1+;把參數(shù)表復制到數(shù)據(jù)存儲區(qū)******************************************* PAGE1:my_fir.cmd * SPRAM:org=1000H,len=1000H******************************************* DARAM:org=2000H,len=2000H}vectors.obj /*由于在源程TWLOBKmy_fir.obj "align"命令*/-omy_fir.out SECTIONS-mmy_fir.map {.text:>EPROMestart .data:>EPROMPAGE0MEMORY .bss:>SPRAMPAGE1{PAGE0: xn:align(128){}>DARAMPAGE1EPROM:org=0090H,len=0F70H h:align(128){}>DARAMPAGE1VECS:org=0080H,len=0010H .vectors:>VECSPAGE0}***********************************************vectors.asm***********************************************.title"vectors.asm".ref_c_int00.sect".vectors"B_c_int00.end濾波器仿真波形如下圖所示:?濾波器輸入數(shù)據(jù)如下:輸入數(shù)據(jù)經(jīng)濾波器處理后為方波信號,如下:圖18.濾波器仿真. .. .此濾波器參數(shù):八階FIR0.8,采用的循環(huán)緩沖區(qū)法設計,經(jīng)CCS仿真后得方波信號。2FSK解調(diào)主程序2FSK延時相乘非相干解調(diào)測試程序,相關參數(shù)如下:?Fc=24kHz,F0=16KHz,F1=32KHz,Fs=192Khz?FIRN=8,h(n)=h(N-1-n)y(n)=h0*[x(n)+x(n-7)]+h1*[x(n-1)+x(n-6)]+h2*[x(n-2)+x(n-5)]+h3*[x(n-3)+x(n-4)]?程序如下:**********************************************FSK_DEM.ASM ***********************************************.title"FSK_DEM.asm".mmregs.def_c_int00DataNum.set196DATA_THD.set4000HDEC_PASS_NUM.set2;DEC_BYPASS_NUM.set12-DEC_PASS_NUM;.bssy,1.bssx_disp,1.bssZ_disp,1.bssLPFOUT,1;—濾波器輸出.bssDEC_DATA_CURR,1;——當前采樣點判決值.bssDEC_DATA_BE,1;——前一次采樣點判決值.bssDEC_NUM,1;——判決用計數(shù)器.bssDEC_NUM_X,1;——周期計數(shù)器.bssDEC_DONE,1;x_new.usect"DATA1",4x_old.usect"DATA2",4Y_OUT.usect"DATA3",32存儲區(qū),實際做的時候需要進行串轉并;outputdata.usect"DATA3",DataNumfilterdata .usect"filter_vars",DataNumsize.set4.datainputdata:**********************************************;噪音**********************************************.WORD

BANZXXX,*AR3-******************************************STMY_OUT,AR1******************************************STM#x_new,AR2;AR21STM#x_old+(size-1),AR3;AR3指向老緩沖區(qū)最后1個單元STM#size,BK;設置循環(huán)緩沖區(qū)長度BKSTM#-1,AR0;循環(huán)控制增量AR0=-1******************************************STM#inputdata,AR5STM#(inputdata+6),AR4;調(diào)制后數(shù)據(jù)首地址延時Pi/2******************************************STOR:STM#filterdata,AR6*****************************************STM#inputdata,AR5;MVDD*AR5+,*AR2STM#(DataNum-1),BRCRPTB HHend-1FIR:******************************************MPY*AR5+,*AR4+,A乘STHA,*AR2MVDK*AR2,x_disp觀察延時相乘后的數(shù)據(jù)波形******************************************ADD*AR2+0%,*AR3+0%,A;AH=(n)+x(n-7(一次)RPTZ B,#(size-1);B=0,下條指令執(zhí)行sizeFIRS *AR2+0%,*AR3+0%,COEF;B+=AH*h0,AH=x(n-1)+x(n-6)…STHB,*AR6+;保存結果到y(tǒng)******************************************MVDK*AR6,x_disp18295,-6420,19759,-29306,6924,-10388,12834,12498.WORD-7547,0,-18295,6420,6084,10388,0,-8530.WORD-12834,6420,622,18918,-7547,-6420,-6084,-10388.WORD25843,-10388,19759,-25338,-622,0,622,25338.WORD-19759,10388,-25843,10388,6084,6420,7547,-18918.WORD-622,-6420,12834,8530,0,-10388,-6084,-6420.WORD18295,0,7547,-12498,-12834,10388,-6924,29306.WORD-19759,6420,-18295,0,18295,-6420,19759,-29306***********************************************F0和F1的余弦表*F032767,28508,16384,0,-16384,-28508,-32767,-28508,-16384,0,16384,28508,*F1 :28508,0,-28508,-28508,0,28508,28508,0,-28508,-28508,0,28508,*以下數(shù)據(jù)表示"001100110011"**********************************************.WORD32767,28508,16384,0,-16384,-28508,-32767,-28508,-16384,0,16384,28508.WORD28508,0,-28508,-28508,0,28508,28508,0,-28508,-28508,0,28508.WORD32767,28508,16384,0,-16384,-28508,-32767,-28508,-16384,0,16384,28508.WORD32767,28508,16384,0,-16384,-28508,-32767,-28508,-16384,0,16384,28508.WORD28508,0,-28508,-28508,0,28508,28508,0,-28508,-28508,0,28508.WORD32767,28508,16384,0,-16384,-28508,-32767,-28508,-16384,0,16384,28508.WORD28508,0,-28508,-28508,0,28508,28508,0,-28508,-28508,0,28508.WORD28508,0,-28508,-28508,0,28508,28508,0,-28508

MVDK*AR6,x_disp*******************************************在此開始對LPF輸出數(shù)據(jù)進行判決*****************************************LDDEC_DONE,ASUB#1,ABCDEC_BYPASS,AEQ*******************************************DEC_NUM_DONE=0,表示一次新的數(shù)據(jù)判決開始LD*AR6,B;ABSB,A;對LPFOUT輸出數(shù)據(jù)取絕對值SUB#DATA_THD,A;將結果與設定的閾值進行比較BCDEC_END,ALT直接返回AND#8000H,B;測試LPUOUT的極性BCDEC_POS,BEQ=0,說明LPFOUTST#0,DEC_DATA_CURR;存儲測定的結果BDEC_NUM_ADDDEC_POS:ST#1,DEC_DATA_CURRDEC_NUM_ADD:ADDM#1,DEC_NUMLDDEC_NUM,ASUB#DEC_PASS_NUM,ABCDEC_BE_CURR,ALT;判斷DEC_NUM>=2,小于2則跳轉到DEC_BE_CURR;BCDEC_CMP,AEQ;判斷DEC_NUM=2;DEC_CMP:LD DEC_DATA_CURR,A;DEC_NUM = 2,判斷DATA_CUR

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論