計算機組成原理實驗PPT-軟件工程_第1頁
計算機組成原理實驗PPT-軟件工程_第2頁
計算機組成原理實驗PPT-軟件工程_第3頁
計算機組成原理實驗PPT-軟件工程_第4頁
計算機組成原理實驗PPT-軟件工程_第5頁
已閱讀5頁,還剩111頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

計算機組成原理實驗

實驗教材:《計算機組成原理實驗指導(dǎo)書》

2/2/20231實驗一運算器實驗

實驗二進(jìn)位運算和移位運算實驗實驗四數(shù)據(jù)通路實驗實驗三存儲器實驗實驗?zāi)夸泴嶒炍逦⒊绦蚩刂茖嶒瀸嶒灹灸P蜋C的設(shè)計與實現(xiàn)2/2/20232實驗一運算器實驗實驗?zāi)康暮鸵髮嶒炘O(shè)備實驗內(nèi)容實驗步驟

實驗注意事項2/2/202331.1實驗?zāi)康暮鸵笫煜嶒炑b置學(xué)習(xí)算術(shù)邏輯單元電路的構(gòu)成及其工作原理,掌握運算器實驗的數(shù)據(jù)傳送通路的結(jié)構(gòu)及不同實驗狀態(tài)下的各運算數(shù)據(jù)的流程。驗證運算功能發(fā)生器(74LS181)的組合功能按指定的數(shù)據(jù)完成幾種指定的算術(shù)和邏輯運算

2/2/202341.2實驗設(shè)備JYS-4計算機組成原理教學(xué)實驗裝置排線、導(dǎo)線若干實驗仿真軟件一套2/2/202352/2/202362/2/202372/2/202382/2/202392/2/2023101.3實驗內(nèi)容1)熟悉實驗設(shè)備及使用方法JYS-4計算機組成原理實驗裝置是一種能夠通過多種“原理計算機”的設(shè)計和構(gòu)造,來靈活地實現(xiàn)“計算機組成原理”課程的實驗教學(xué),以滿足不同層次和不同教學(xué)環(huán)節(jié)實驗要求的開放式教學(xué)實驗設(shè)備。使用JYS-4計算機組成原理實驗裝置可完成運算器實驗、進(jìn)位和移位控制實驗、靜態(tài)存儲器原理實驗、計算機的數(shù)據(jù)通路實驗、微程序控制器實驗、基本模型機的設(shè)計與實現(xiàn)實驗、帶移位運算的模型機的設(shè)計與實現(xiàn)等實驗。2/2/2023111.3實驗內(nèi)容1)熟悉實驗設(shè)備及使用方法JYS-4計算機組成原理實驗裝置采用內(nèi)、外總線結(jié)構(gòu),并按開放式結(jié)構(gòu)要求設(shè)計了各關(guān)聯(lián)的單元實驗電路,除進(jìn)一步規(guī)范了可組成的原理計算機結(jié)構(gòu)外,也為實驗教學(xué)提供了充足的硬件可設(shè)計空間和軟件可設(shè)計空間,在實驗電路構(gòu)造方面,系統(tǒng)也提供了多種手段,可按部件層次組合方式逐次構(gòu)造不同結(jié)構(gòu)和復(fù)雜程度的部件實驗電路及模型計算機。2/2/2023121.3實驗內(nèi)容1)熟悉實驗設(shè)備及使用方法整個實驗儀器是由分散元器件構(gòu)成,包括計算機中的各組成部件:運算器、存儲器、控制器等,這些器件的內(nèi)部連線已經(jīng)連好,需要連接的是一些控制信號線。實驗板上對各個器件的劃分比較清楚,都用白色框線表示,每個器件的名稱也用白色注明。2/2/202313JYS-4硬件系統(tǒng)布局圖2/2/2023141.3實驗內(nèi)容1)熟悉實驗設(shè)備及使用方法掌握拿線的方法和要點(如手指捏住的不是導(dǎo)線,而是導(dǎo)線的線座)。插拔導(dǎo)線的方法要點(注意線座的角度與排針保持零角度方向運動)。對于兩根一股以上的“排線”,注意連接信號的對號入座(以不同的色彩來區(qū)分不同的信號)。防止線座與相對應(yīng)的排針之間的竄位連接或反順序連接。2/2/202315接線時將電源斷開,全部接好線后檢查無誤,方可打開電源,嚴(yán)禁帶電插拔連線。相互沖突的總線控制信號要確?;コ獬霈F(xiàn),否則會出現(xiàn)總線沖突,導(dǎo)致燒毀器件。認(rèn)真對待,反復(fù)檢查、確認(rèn);不太確定的事情不能草率行事,經(jīng)指導(dǎo)老師確認(rèn)后再做。插拔排線時動作要慢,對準(zhǔn)板子上的排針垂直插入和拔出,以免損傷排針和排線。當(dāng)使用排線連接多個數(shù)據(jù)線和控制信號時,注意對應(yīng)關(guān)系,排線中各條線的顏色可以幫助辨認(rèn)。2/2/2023161.3實驗內(nèi)容2)熟悉算術(shù)邏輯運算單元電路的結(jié)構(gòu)與工作原理算術(shù)邏輯運算單元電路的結(jié)構(gòu)使用2片74LS181以并串連形式構(gòu)成8位字長ALU,ALU輸出經(jīng)過三態(tài)門同數(shù)據(jù)總線相連。運算器的兩輸入端的數(shù)據(jù)分別由兩個鎖存器鎖存,鎖存器輸入與數(shù)據(jù)總線相連,數(shù)據(jù)開關(guān)用來給出參與運算的數(shù)據(jù),通過三態(tài)門和數(shù)據(jù)總線相連,數(shù)據(jù)顯示燈與數(shù)據(jù)總線相連,用來顯示數(shù)據(jù)總線內(nèi)容。實驗過程中,ALU根據(jù)不同運算控制信號對2個鎖存器中的二進(jìn)制數(shù)進(jìn)行算術(shù)或邏輯運算。運算結(jié)果經(jīng)三態(tài)門送到數(shù)據(jù)總線。2/2/202317運算器電路結(jié)構(gòu)圖2/2/2023181.3實驗內(nèi)容2)熟悉算術(shù)邏輯運算單元電路的結(jié)構(gòu)與工作原理算術(shù)邏輯運算單元電路的主要控制信號算術(shù)邏輯單元電路中用到的控制信號主要有T4、S0、S1、S2、S3、Cn、M、LDDR1、LDDR2、SW-B、ALU-B。在實際應(yīng)用中,只需將“W/RUNIT”的T4接至“STATEUNIT”的微動開關(guān)KK2的輸出端,按動微動開關(guān),即可獲得實驗所需的單脈沖,其中Cn、SW-B、ALU-B為低電平有效,它們是分別控制運算器進(jìn)位、數(shù)據(jù)開關(guān)至數(shù)據(jù)總線的三態(tài)門以及運算器輸出至數(shù)據(jù)總線三態(tài)門的控制信號。2/2/2023191.3實驗內(nèi)容3)利用上述ALU電路實現(xiàn)算術(shù)與邏輯運算利用上述算術(shù)邏輯運算單元電路完成多個算術(shù)與邏輯運算操作,并將實驗結(jié)果與正確結(jié)果進(jìn)行比較。74LS181型ALU在正邏輯下的功能如下表所示:2/2/202320工作方式輸入選擇S3S2S1S0正邏輯輸入與輸出邏輯運算(M=H)算術(shù)運算(M=L)(/Cn=H,無進(jìn)位)(/Cn=L,有進(jìn)位)LLLLA非AA加1LLLH(A+B)非A+B(A+B)加1LLHL(A非)BA+(B非)(A+(B非))加1LLHH0減10LHLL(AB)非A加A(B非)A加A(B非)加1LHLHB非(A+B)加A(B非)(A+B)加A(B非)加1LHHLA異或BA減B減1A減BLHHHA(B非)(A(B非))減1A(B非)HLLL(A非)+BA加ABA加AB加1HLLH(A異或B)非A加BA加B加1HLHLB(A+(B非))加AB(A+(B非))加AB加1HLHHABAB減1ABHHLL1AA加A加1HHLHA+(B非)(A+B)加A(A+B)加A加1HHHLA+B(A+(B非))加A(A+(B非))加A加1HHHHAA減1A2/2/2023211.4實驗步驟先用實驗仿真軟件模擬硬件實驗的過程,熟悉實驗的操作步驟,并可以將得到的實驗數(shù)據(jù)用于驗證硬件實驗的結(jié)果。在正式開始硬件實驗之前,先熟悉實驗裝置各部分結(jié)構(gòu)和功能;練習(xí)正確的插拔線方法。2/2/2023221.4實驗步驟1)按要求打開實驗裝置,把上述原理圖中用到的單元電路及控制信號與實驗裝置上各單元電路和相關(guān)信號控制開關(guān)等實物相對照,熟悉應(yīng)用和操作對象。本次實驗用到的所有數(shù)據(jù)開關(guān)和控制開關(guān)如果不在初始狀態(tài),則要先將其打到初始狀態(tài)(即斷開狀態(tài)),在本裝置中,開關(guān)斷開,其輸出均為高電平狀態(tài)(開關(guān)指示燈滅)2/2/2023231.4實驗步驟2)按圖2-2連接線路,連接完畢后要進(jìn)行仔細(xì)檢查,確保無誤后方可通電實驗

2/2/2023241.4實驗步驟3)用二進(jìn)制數(shù)據(jù)開關(guān)向DR1(寄存器A)和DR2(寄存器B)置運算數(shù)據(jù),步驟如下:再次查看開關(guān)單元ALU-B開關(guān)是否處于初始狀態(tài)不在初始狀態(tài)則打到初始狀態(tài)(ALU-B=1),關(guān)閉ALU輸出的三態(tài)門。接通WS-B開關(guān),打開數(shù)據(jù)輸入單元的三態(tài)門。分別向寄存器A和寄存器B置數(shù),操作流程如圖關(guān)閉數(shù)據(jù)輸入三態(tài)門,即斷開SW-B開關(guān)(SW-B=1)

2/2/2023251.4實驗步驟3)用二進(jìn)制數(shù)據(jù)開關(guān)向DR1(寄存器A)和DR2(寄存器B)置運算數(shù)據(jù)撥動輸入單元的數(shù)據(jù)開關(guān)生成八位二進(jìn)制數(shù)據(jù)X向寄存器A置數(shù)據(jù):LDDR1=1LDDR2=0T4=撥動輸入單元的數(shù)據(jù)開關(guān)生成八位二進(jìn)制數(shù)據(jù)Y向寄存器B置數(shù)據(jù):LDDR1=0LDDR2=1T4=2/2/2023261.4實驗步驟4)驗證寄存器A(DR1)和寄存器B(DR2)中數(shù)據(jù)的正確性,步驟如下:關(guān)閉數(shù)據(jù)輸入三態(tài)門(SW-B=1),打開ALU輸出三態(tài)門(ALU-B=0)。當(dāng)S3~S0、M初始狀態(tài)時,控制開關(guān)均在斷開狀態(tài),ALU輸出寄存器A的數(shù)據(jù),對照總線指示燈數(shù)據(jù)。只接通S2和S0控制開關(guān)(S2=0,S0=0),其余開關(guān)狀態(tài)不變,ALU輸出寄存器B的數(shù)據(jù),對照總線指示燈數(shù)據(jù)。如果兩個寄存器顯示數(shù)據(jù)與置入數(shù)據(jù)一致,表明實驗裝置所用到單元電路、實驗接線和操作都正確。否則必有實驗環(huán)節(jié)發(fā)生錯誤,必須認(rèn)真檢查分析,找出出錯原因。后續(xù)實驗必須在上述結(jié)果正確無誤的基礎(chǔ)方可進(jìn)行。2/2/2023271.4實驗步驟5)驗證74LS181的算術(shù)運算和邏輯運算功能(正邏輯),在給定寄存器A(DR1=X)和寄存器B(DR2=Y)數(shù)據(jù)的情況下,改變運算器的功能,觀察運算器的輸出,把相關(guān)功能下的輸出結(jié)果填入表2-2,并做出理論分析和比較,驗證實驗的正確性。2/2/202328表2-2運算器實驗數(shù)據(jù)記錄表2/2/2023291.5實驗注意事項接線時將電源斷開,全部接好線后檢查無誤,方可打開電源,嚴(yán)禁帶電插拔連線。相互沖突的總線控制信號要確?;コ獬霈F(xiàn),否則會出現(xiàn)總線沖突,導(dǎo)致燒毀器件。認(rèn)真對待,反復(fù)檢查、確認(rèn);不太確定的事情不能草率行事,經(jīng)指導(dǎo)老師確認(rèn)后再做。插拔排線時動作要慢,對準(zhǔn)板子上的排針垂直插入和拔出,以免損傷排針和排線。當(dāng)使用排線連接多個數(shù)據(jù)線和控制信號時,注意對應(yīng)關(guān)系,排線中各條線的顏色可以幫助辨認(rèn)。實驗前要認(rèn)真閱讀《實驗指導(dǎo)書》,聯(lián)系課堂所學(xué)知識,搞清楚電路結(jié)構(gòu)及工作原理,達(dá)到理論與實踐相結(jié)合的目的。每組實驗數(shù)據(jù)不能完全相同,做好實驗數(shù)據(jù)記錄。根據(jù)實驗內(nèi)容寫出實驗報告。2/2/202330實驗一運算器實驗

實驗二進(jìn)位運算和移位運算實驗實驗四數(shù)據(jù)通路實驗實驗三存儲器實驗實驗?zāi)夸?/2/202331實驗二進(jìn)位運算和移位運算實驗實驗?zāi)康暮鸵髮嶒炘O(shè)備實驗內(nèi)容實驗步驟

實驗注意事項2/2/2023322.1實驗?zāi)康暮鸵篁炞C待進(jìn)位控制的算術(shù)運算功能發(fā)生器的進(jìn)位功能驗證移位控制的組合功能

2/2/2023332.2實驗設(shè)備JYS-4計算機組成原理教學(xué)實驗裝置排線、導(dǎo)線若干2/2/2023342.3實驗內(nèi)容1)進(jìn)位計算實驗原理進(jìn)位控制單元電路是在算術(shù)邏輯運算單元基礎(chǔ)上增加進(jìn)位控制部分形成的單元電路,其作用是驗證運算器在進(jìn)行運算的過程中是否產(chǎn)生進(jìn)位,并將結(jié)果用指示燈顯示出來進(jìn)位控制單元電路結(jié)構(gòu)原理如圖3-1,進(jìn)位控制單元電路以算術(shù)邏輯運算單元電路為基礎(chǔ),具有算術(shù)邏輯運算單元所有控制信號,為控制進(jìn)位鎖存器,增加了AR控制信號,當(dāng)該信號處于低電平,同時發(fā)送T4信號,ALU進(jìn)位被鎖存在鎖存器中

進(jìn)位控制單元電路的數(shù)據(jù)通路

2/2/202335圖3-1進(jìn)位控制單元電路結(jié)構(gòu)原理圖2/2/2023362.3實驗內(nèi)容2)移位運算實驗原理移位運算單元電路是運算器單元電路中負(fù)責(zé)完成二進(jìn)制數(shù)的邏輯左移、邏輯右移、算術(shù)左移、算術(shù)右移的器件及其移動控制操作電路來實現(xiàn)的

移位運算結(jié)構(gòu)如圖3-3,使用一片74LS299作為移位發(fā)生器,其八位輸入/輸出端以排針方式和總線單元電路連接。299-B信號控制使能端,T4時序為時鐘脈沖,實驗時將讀寫單元的T4接至狀態(tài)單元的KK2脈沖發(fā)生器,由S0S1M信號控制功能狀態(tài),其列表3-1通過控制信號,改變單元功能。每使用一次T4信號,產(chǎn)生一次移位運算

2/2/202337表3-1移位控制功能表2/2/202338圖3-3移位運算結(jié)構(gòu)圖2/2/2023392.4實驗步驟1)進(jìn)位計算實驗步驟1按圖3-2連接試驗線路,連接完畢仔細(xì)檢查無誤后通電2/2/2023402.4實驗步驟1)進(jìn)位計算實驗步驟2從輸入單元電路向寄存器A和寄存器B置數(shù),步驟為:①關(guān)閉ALU輸出三態(tài)門,開啟輸入三態(tài)門,②要向DR1寄存器中輸入01010101,先將數(shù)據(jù)開關(guān)置01010101,將LDDR1置1,LDDR2置0,按KK2發(fā)送T4脈沖,數(shù)據(jù)01010101便送入寄存器A中。再將數(shù)據(jù)開關(guān)置10101010,將LDDR1置0,LDDR2置1,按KK2發(fā)送T4脈沖,數(shù)據(jù)10101010便送入寄存器B中。2/2/2023412.4實驗步驟1)進(jìn)位計算實驗步驟3進(jìn)位標(biāo)志位清零,清零的方法是,將S3S2S1S0M的狀態(tài)置為00000,AR狀態(tài)置為0(要注意的是清零時DR1寄存器中的數(shù)不能等于FF)。然后按動微動開關(guān)KK2。。2/2/2023422.4實驗步驟1)進(jìn)位計算實驗步驟4驗證帶進(jìn)位運算及進(jìn)位鎖存功能,實驗使用加法運算來驗證。首先向DR1、DR2置數(shù),并將進(jìn)位標(biāo)志位清零。然后使ALU-B=0,S3S2S1S0M狀態(tài)為10010,此時將數(shù)據(jù)顯示燈上顯示的數(shù)據(jù)位DR1、DR2加當(dāng)前進(jìn)位標(biāo)志位,這個結(jié)果是否產(chǎn)生進(jìn)位,則要按動微動開關(guān)KK2,若進(jìn)位標(biāo)志燈亮,表示無進(jìn)位;反之,則表示有進(jìn)位。2/2/2023432.4實驗步驟2)移位運算實驗步驟1按圖3-4連接線路,將“W/RUNIT”的T4接至“STATEUNIT”的KK2。ALUUNIT的AUJ1連接至BUSUNIT再接到INPUTUNIT的SWJ3,299-BS1S0M接到SWITCHUNIT相應(yīng)接口。連接完畢檢查無誤后接通電源

。2/2/2023442.4實驗步驟2)移位運算實驗步驟2置數(shù):將數(shù)據(jù)輸入單元的數(shù)據(jù)開關(guān)置成01101011狀態(tài),然后將SW-B置為0。要置數(shù)時將S0置成1,S1置成1,此時74LS299處于裝數(shù)狀態(tài),按動微動開關(guān)KK2發(fā)送T4信號,數(shù)據(jù)裝入后再將SW-B置為1。移位操作:參照表3-1改變S0S1M299-B狀態(tài),按動微動開關(guān)KK2,就可觀察移位結(jié)果。在實驗過程中,每按動一次KK2鍵,顯示燈顯示數(shù)據(jù)就移動一位。2/2/2023452.5實驗注意事項1)全部接好線并檢查無誤方可通電,嚴(yán)禁帶電插拔排線;2)每組實驗數(shù)據(jù)不能完全相同,做好實驗數(shù)據(jù)記錄;3)根據(jù)實驗內(nèi)容寫出實驗報告;2/2/202346實驗一運算器實驗

實驗二進(jìn)位運算和移位運算實驗實驗四數(shù)據(jù)通路實驗實驗三存儲器實驗實驗?zāi)夸?/2/202347實驗三存儲器實驗實驗?zāi)康暮鸵髮嶒炘O(shè)備實驗內(nèi)容實驗步驟

實驗注意事項2/2/2023483.1實驗?zāi)康暮鸵笳莆侦o態(tài)隨機存儲器(6116)的工作原理及數(shù)據(jù)的讀寫方法

2/2/2023493.2實驗設(shè)備JYS-4計算機組成原理教學(xué)實驗裝置排線、導(dǎo)線若干示波器一臺2/2/2023503.3實驗內(nèi)容1)存儲器實驗原理實驗所用的靜態(tài)存儲器原理如圖4-1,存儲器由一片6116(2K*8)構(gòu)成,其數(shù)據(jù)線接至數(shù)據(jù)總線,地址線由地址鎖存器給出。數(shù)據(jù)開關(guān)經(jīng)三態(tài)門連至數(shù)據(jù)總線,分時給出地址和數(shù)據(jù)。因地址寄存器為8位,接入6116的地址A7-A0,而高三位A8-A10接地,所以其實際容量為256字節(jié)。6116有3個控制線:CE(片選),OE(讀控制),WE(寫控制)2/2/2023513.3實驗內(nèi)容1)存儲器實驗原理當(dāng)片選信號有效(CE=0)時,OE=0時進(jìn)行讀操作(本電路中,OE接地,只要片選信號CE=0有效,不在寫狀態(tài)就在讀狀態(tài)),CE=0,WE=1時進(jìn)行寫操作,其寫時間與T3脈沖寬度一致。實驗時將T3脈沖接至?xí)r序電路模塊的TS3插孔中,其脈寬可調(diào),其他電平控制信號由“SWITCHUNIT”單元的二進(jìn)制開關(guān)模擬,其中SW-B為低電平有效,LDAR為高效電平有效2/2/2023523.3實驗內(nèi)容2)實驗原理圖2/2/2023533.4實驗步驟1)形成時鐘脈信號T3,操作步驟如下①接通電源,接入示波器方波信號源輸出孔H24,調(diào)節(jié)電位器W1,使H24端輸出實驗期望頻率方波。②將時序電路模塊的Φ和H23排針相連。③將時序電路模塊“STOP”開關(guān)置為“RUN”狀態(tài),“STEP”開關(guān)置為“EXEC”狀態(tài),按動微動開關(guān)START,T3輸出連續(xù)方波信號,調(diào)節(jié)電位器W,用示波器觀察,使T3輸出實驗要求脈沖信號。當(dāng)“STOP”開關(guān)置為“RUN”狀態(tài),“STEP”開關(guān)置為“STEP”狀態(tài)時,每按動一次微動開關(guān)START,則T3輸出一個單脈沖,其脈沖寬度與連續(xù)方式相同。④關(guān)閉電源。2/2/2023543.4實驗步驟2)按圖4-2連接實驗線路,檢查無誤后接通電源

2/2/2023553.4實驗步驟3)存儲器的00,01,02,03,04地址單元中分別寫入數(shù)據(jù)11,12,13,14,15,操作步驟如下

2/2/2023563.4實驗步驟4)將存儲器的00,01,02,03,04地址單元數(shù)據(jù)讀出,觀察上述各單元內(nèi)容是否與前面寫入一致,操作步驟如下(以從0號單元讀出數(shù)據(jù)為例)2/2/2023573.5實驗注意事項1)全部接好線并檢查無誤方可通電,嚴(yán)禁帶電插拔排線;2)每組實驗數(shù)據(jù)不能完全相同,做好實驗數(shù)據(jù)記錄;3)根據(jù)實驗內(nèi)容寫出實驗報告;2/2/202358實驗一運算器實驗

實驗二進(jìn)位運算和移位運算實驗實驗四數(shù)據(jù)通路實驗實驗三存儲器實驗實驗?zāi)夸?/2/202359實驗四數(shù)據(jù)通路實驗實驗?zāi)康暮鸵髮嶒炘O(shè)備實驗內(nèi)容實驗步驟

實驗注意事項2/2/2023604.1實驗?zāi)康暮鸵笤贘YS-4實驗裝置上模擬計算機最基本的工作過程,打通“鍵盤”、“CPU”、“RAM”之間的數(shù)據(jù)通路。掌握計算機的數(shù)據(jù)通路組成及其工作原理2/2/2023614.2實驗設(shè)備JYS-4計算機組成原理教學(xué)實驗裝置排線、導(dǎo)線若干2/2/2023624.3實驗內(nèi)容1)數(shù)據(jù)通路實驗原理該實驗實際是上述實驗一與實驗三的綜合實驗。把JYS-4實驗裝置上的INPUTDEVICE、SWITCHUNIT、SIGNALUNIT、STATEUNIT、ALUUNIT、MAINMEM、ADDRESSUNIT、BUSUNIT、W/RUNIT、OUTPUTDEVICE等單元電路連接起來,構(gòu)成一個最基本的計算機系統(tǒng),以模擬計算機的實際工作過程。電路構(gòu)成也是運算器實驗和存儲器實驗電路的綜合,如圖5-12/2/202363圖5-1數(shù)據(jù)通路實驗原理圖2/2/2023644.3實驗內(nèi)容1)數(shù)據(jù)通路實驗原理在本裝置開關(guān)單元里,LDDR1與CE、LDDR2與WE分別共用一個控制開關(guān),在前面實驗中,這兩個開關(guān)不矛盾。但本實驗里,這四個控制信號都用到,因而產(chǎn)生矛盾,為解決這個問題,規(guī)定在本實驗接線時,保持圖2-2接線不變,將圖4-2中存儲器單元的片選信號(CE)輸入端連接至開關(guān)單元里的AR控制端,同時將寫存儲器控制信號(WE)輸入端連接至開關(guān)單元里的SWA輸。如有其他信號沖突,可用類似辦法解決2/2/2023654.4實驗步驟1)接線前的準(zhǔn)備、實驗電路的接線程序參見實驗一和實驗三。

2)從輸入單元電路輸入四個八位二進(jìn)制數(shù)據(jù),并存入存儲器單元(四個數(shù)據(jù)及四個存放數(shù)據(jù)的內(nèi)存單元地址由各組定義,但要求不能與其它組定義的數(shù)據(jù)相同)

2/2/2023664.4實驗步驟3)從內(nèi)存單元取出兩組八位二進(jìn)制分別送入DR1和DR2,并進(jìn)行四種不同的算術(shù)運算,并把不同算術(shù)運算的結(jié)果保存在存儲器單元里4)再從內(nèi)存單元里取出剩下的兩個原始數(shù)據(jù)分別送入DR1和DR2,并進(jìn)行四種不同的邏輯運算,并把不同邏輯運算結(jié)果存入存儲器單元里5)分別從存儲器單元讀出算術(shù)運算和邏輯運算的結(jié)果,并進(jìn)行理論分析其正確性

2/2/2023674.5實驗注意事項1)全部接好線并檢查無誤方可通電,嚴(yán)禁帶電插拔排線;2)每組實驗數(shù)據(jù)不能完全相同,做好實驗數(shù)據(jù)記錄;3)根據(jù)實驗內(nèi)容寫出實驗報告;2/2/202368實驗一運算器實驗

實驗二進(jìn)位運算和移位運算實驗實驗四數(shù)據(jù)通路實驗實驗三存儲器實驗實驗?zāi)夸泴嶒炍逦⒊绦蚩刂茖嶒瀸嶒灹灸P蜋C的設(shè)計與實現(xiàn)2/2/202369實驗五微控制器實驗實驗?zāi)康暮鸵髮嶒炘O(shè)備實驗內(nèi)容實驗步驟

實驗注意事項2/2/2023705.1實驗?zāi)康暮鸵笳莆諘r序產(chǎn)生器的組成原理掌握微程序控制器的組成原理掌握微程序的編制、寫入,觀察微程序的運行2/2/2023715.2實驗設(shè)備JYS-4計算機組成原理教學(xué)實驗裝置排線、導(dǎo)線若干2/2/2023725.3實驗內(nèi)容1實驗原理(1)微程序控制器工作原理微程序控制器是根據(jù)微地址寄存器指向的微代碼地址,把預(yù)先存儲在微程序控制存儲器里面的微代碼,在時序電路的控制下,通過指令譯碼器解釋成各單元電路的控制信號,以協(xié)調(diào)整機工作的單元電路2/2/2023735.3實驗內(nèi)容時序電路實驗所用的時序電路原理如圖5-1所示,可產(chǎn)生4個等間隔的時序信號TS1-TS4,Φ為時鐘信號,由實驗臺左上方的方波信號源提供,可產(chǎn)生頻率及脈沖寬度可調(diào)的方波信號

。當(dāng)STEP開關(guān)為EXEC時,按下啟動鍵,時序信號TS1-TS4將周而復(fù)始地發(fā)送出去。當(dāng)STEP為STEP時,按下啟動鍵,機器便處于單步運行狀態(tài),此時只發(fā)送一個CPU周期的時序信號就停機。利用單步方式,每次只讀一條微指令,可觀察微指令的代碼與當(dāng)前微指令的執(zhí)行結(jié)果2/2/2023745.3實驗內(nèi)容(2)微程序控制器單元電路

微程序控制電路的組成如圖5-2,其中控制存儲器采用3片2816的E2PROM,具有斷電保護(hù)功能,微指令寄存器18位,用兩片8D觸發(fā)器(273)和一片4D(175)觸發(fā)器組成。微地址寄存器6位,用三片正沿觸發(fā)的雙D觸發(fā)器(74)組成

。實驗中設(shè)有一個編程開關(guān)(位于實驗板右上方),它具有三種狀態(tài):PROM(編程)、READ(校驗)、RUN(運行)?!熬幊虪顟B(tài)”時,可根據(jù)微地址和微指令格式將微指令二進(jìn)制代碼寫入到控制存儲器2816E2PROM中。“校驗狀態(tài)”時,可對寫入控制存儲器中的二進(jìn)制代碼進(jìn)行驗證?!斑\行狀態(tài)”時,給出微程序的入口微地址,可根據(jù)微程序流程圖自動執(zhí)行微程序。2/2/2023752/2/2023765.3實驗內(nèi)容(3)微指令格式,微指令長共24位,其控制位順序表5-12/2/2023775.3實驗內(nèi)容(4)指令譯碼電路的原理uA5-uA0為6位后續(xù)的微地址,A,B,C三個譯碼字段,分別由三個控制位譯碼出多位。C字段中的P(1)-P(4)是四個測試字位。其功能是根據(jù)機器指令及相應(yīng)微代碼進(jìn)行譯碼,使微程序轉(zhuǎn)入相應(yīng)的微地址入口,從而實現(xiàn)微程序中的順序、分支、循環(huán)進(jìn)行,其原理如圖5-3所示,圖中I7-I2為指令寄存器的第7-2位輸出,SE5-SE1為微程序控制器單元微地址鎖存器的強置端輸出。2/2/202378圖5-3C字段譯碼原理圖2/2/2023795.4實驗步驟1)編制微程序,圖5-5為幾條機器指令對應(yīng)的參考微程序流程圖,將全部微程序按微指令格式變成二進(jìn)制代碼

2/2/2023802/2/202381圖5-5微程序流程圖2/2/2023825.4實驗步驟2)根據(jù)上述微程序流程圖,將其微命令轉(zhuǎn)換成相應(yīng)的二進(jìn)制代碼如下表5-2。其內(nèi)容實質(zhì)即為可運行的微程序2/2/2023832/2/2023845.4實驗步驟3)實驗線路連接

2/2/2023855.4實驗步驟4)微程序的寫入與運行

編程①將編程開關(guān)置為PROM(編程)狀態(tài)。②將實驗板“STEP”開關(guān)置“STEP”狀態(tài),“STOP”開關(guān)置“RUN”狀態(tài)③用二進(jìn)制模擬開關(guān)置微地址MA5-MA0。④在MK24-MK1開關(guān)上置微代碼,24位開關(guān)對應(yīng)24位顯示燈,開關(guān)置為“0”時燈亮,開關(guān)置為“1”時燈滅。⑤啟動時序電路(按動自動按鈕“START”),即將微代碼寫入到2816E2PROM的相應(yīng)地址對應(yīng)的單元中。⑥重復(fù)③-⑤步驟,將表6-2的微代碼寫入2816E2PROM2/2/2023865.4實驗步驟4)微程序的寫入與運行

校驗①將編程開關(guān)設(shè)置為READ(校驗)狀態(tài)。②將實驗板“STEP”開關(guān)置“STEP”狀態(tài),“STOP”開關(guān)置“RUN”狀態(tài)③用二進(jìn)制開關(guān)置好微地址MA5-MA0。④按動“START”鍵,啟動時序電路,讀出微代碼,觀察顯示燈MD24-MD1的狀態(tài)(燈亮為“0”,滅為“1”),檢查讀出的微代碼是否與寫入的相同。若不同,則將開關(guān)置于PROM編程狀態(tài),重新執(zhí)行“編程”即可⑤重復(fù)③、④步驟,直至把需要校驗的內(nèi)容都校驗完成為止2/2/2023875.4實驗步驟4)微程序的寫入與運行

單步運行①將編程開關(guān)置于“RUN(運行)”狀態(tài)。②實驗板的“STEP”及“STOP”開關(guān)保持原狀。③按動CLR開關(guān)使CLR信號1→0→1。微地址寄存器MA5-MA0清零,從而明確本機的運行入口微地址為000000(二進(jìn)制)。④按動“START”鍵,啟動時序電路,讀出一條微指令后停機,此時實驗臺上的微地址顯示燈和微命令顯示燈將顯示所讀出的一條指令。⑤將“MICRO-CONTROLLER”單元的SE6-SE1接至“SWITCHUNIT”中的UA5-UA0對應(yīng)二進(jìn)制開關(guān)上,通過強置端SE1-SE6人為設(shè)置分支地址。人為設(shè)置分支地址時,是將某個或幾個二進(jìn)制開關(guān)置為“0”,相應(yīng)的微地址位即被強置為“1”,從而改變下一條微指令的地址。2/2/2023885.4實驗步驟4)微程序的寫入與運行

連續(xù)運行①將編程開關(guān)置為“RUN(運行)”狀態(tài)。②將實驗板的單步開關(guān)“STEP”置為“EXEC”狀態(tài)。③使CLR從1→0→1,此時微地址寄存器清“0”,從而給出取指微指令的入口地址為000000(二進(jìn)制)。④啟動時序電路,則可連續(xù)讀出微指令2/2/2023895.5實驗注意事項1)全部接好線并檢查無誤方可通電,嚴(yán)禁帶電插拔排線;2)做實驗前必需掌握微程序控制器的工作原理,了解實驗的原理和步驟。3)實驗前檢查微地址燈和微命令燈是否顯示正常。4)編程模式時應(yīng)將CLR信號置于1狀態(tài)。5)在將微程序?qū)懭肟卮婧?,需要逐一讀出驗證,出現(xiàn)一條微指令錯誤都可能會影響實驗結(jié)果。6)如果出現(xiàn)實驗結(jié)果不符合的情況,可以回去驗證微程序是否正確。7)實驗過程中注意StateUnit單元的Step和Stop開關(guān)的狀態(tài)2/2/202390實驗一運算器實驗

實驗二進(jìn)位運算和移位運算實驗實驗四數(shù)據(jù)通路實驗實驗三存儲器實驗實驗?zāi)夸泴嶒炍逦⒊绦蚩刂茖嶒瀸嶒灹灸P蜋C的設(shè)計與實現(xiàn)2/2/202391實驗六基本模型機的設(shè)計與實現(xiàn)實驗?zāi)康暮鸵髮嶒炘O(shè)備實驗內(nèi)容實驗步驟

實驗注意事項2/2/2023926.1實驗?zāi)康暮鸵笤谡莆認(rèn)YS-4計算機組成原理教學(xué)實驗裝置各單元電路的構(gòu)成及其工作原理的基礎(chǔ)上,進(jìn)一步將其組成系統(tǒng),構(gòu)造出一臺基本模型計算機。為本模型機定義五條機器指令,寫出相應(yīng)的微程序,并上機調(diào)試,以掌握整機的概念。2/2/2023936.2實驗設(shè)備JYS-4計算機組成原理教學(xué)實驗裝置排線、導(dǎo)線若干2/2/2023946.3實驗內(nèi)容1實驗原理(1)基本模型機的設(shè)計與組成

基本模型機是利用JYS-4計算機組成原理實驗裝置中的ALU單元電路、存儲器單元電路、微程序控制器等單元電路組織起來的一個具有基本計算機功能的有機系統(tǒng)以前各單元電路的實驗過程中,相關(guān)的控制信號都是人為模擬產(chǎn)生的,而基本模型機的工作則是在微程序控制下自動產(chǎn)生各單元電路的控制信號

2/2/2023956.3實驗內(nèi)容設(shè)計基本模型機機器指令

本設(shè)計采用五條機器指令,分別為:IN(輸入)、OUT(輸出)、ADD(二進(jìn)制加)、STA(存數(shù))、JMP(無條件轉(zhuǎn)移)來模擬模型機的工作過程。表7-1是對這幾條基本指令的具體說明助記符機器指令碼說明IN00000000輸入單元開關(guān)狀態(tài)->R0ADDaddr00010000χχχχχχχχR0+〔addr〕->R0STAaddr00100000χχχχχχχχR0->〔addr〕OUTaddr00110000χχχχχχχχ〔addr〕->BUSJMPaddr01000000χχχχχχχχaddr->PC2/2/2023966.3實驗內(nèi)容設(shè)計基本模型機的控制臺命令

要向存儲器寫程序和數(shù)據(jù),以及從存儲器讀程序和數(shù)據(jù)還是執(zhí)行程序,都需要設(shè)置控制臺命令。控制臺命令的這些功能也需要用微程序設(shè)計來實現(xiàn)。本實驗設(shè)計三個控制臺操作命令及其微程序。而對控制臺命令的選擇是通過兩個開關(guān)SWB、SWA兩位的狀態(tài)來決定的說明SWBSWA控制臺指令00讀內(nèi)存(KRD)01寫內(nèi)存(KWE)11啟動程序(RP)2/2/2023976.3實驗內(nèi)容基本模型機的數(shù)據(jù)通路框圖基本模型機的數(shù)據(jù)通路如圖6-1

。在圖6-1中,絕大部分單元電路在前面的實驗里都用到過,這里說明一下指令寄存器(IR)在本實驗中的工作原理

指令寄存器(IR)用于保存當(dāng)前正在執(zhí)行的一條指令。當(dāng)執(zhí)行一條指令時,首先把指令從內(nèi)存取到緩沖寄存器中,然后再傳送至指令寄存器。指令劃分為操作碼和地址碼兩部分,由二進(jìn)制數(shù)碼組成,為了執(zhí)行任何給定的指令,必須對操作碼進(jìn)行測試[P(1)],通過節(jié)拍脈沖T4的控制識別所要求的操作。指令譯碼器根據(jù)指令中操作碼譯碼強置微控器單元的微地址,使下一條微指令指向相應(yīng)的微程序首地址2/2/2023982/2/2023996.3實驗內(nèi)容(2)微程序設(shè)計微指令的長度有24位,其控制位的順序如表5-1。A、B、C三個代碼段的格式及其定義分別如表5-2至表5-4。第19位到第24位用來控制ALU的行為,S3、S2、S1、S0的組合用來決定ALU的運算行式,M用來選擇是進(jìn)行邏輯運算還是算術(shù)運算,Cn在算術(shù)運算時有效。第16、17位經(jīng)過在擴展總線單元電路的譯碼輸出信號以及第18位是用來控制存儲器讀寫以及輸入和輸出單元電路協(xié)調(diào)工作的2/2/20231006.3實驗內(nèi)容(2)微程序設(shè)計C字段的功能是根據(jù)機器指令及相應(yīng)的微代碼進(jìn)行譯碼,使微程序轉(zhuǎn)入相應(yīng)的微地址入口,從而實現(xiàn)微程序的順序、分支、循環(huán)運行B字段中的RS-B、RO-B、RI-B分別為源寄存器選通信號、目的寄存器選通信號以及變址寄存器選通信號,其功能是根據(jù)機器指令來進(jìn)行三個寄存器RO、R1、R2選通譯碼(詳見實驗六圖6-4)。A字段是DR1,DR2,IR,AR,PC的控制信號,LDRi是產(chǎn)生上述寄存器信號的譯碼器使能控制位。后面幾位是后續(xù)微地址,指明了在其后要執(zhí)行的指令的地址2/2/20231016.3實驗內(nèi)容微指令格式,微指令長共24位,其控制位順序表5-12/2/20231022/2/2023103圖5-5微程序流程圖2/2/2023104二進(jìn)制微代碼表2/2/20231052/2/20231066.4實驗步驟4)微程序的寫入與運行

編程①將編程開關(guān)置為PROM(編程)狀態(tài)。②將實驗板“STEP”開關(guān)置“STEP”狀態(tài),“STOP”開關(guān)置“RUN”狀態(tài)③用二進(jìn)制模擬開關(guān)置微地址MA5-MA0。④在MK24-MK1開關(guān)上置微代碼,24位開關(guān)對應(yīng)24位顯示燈,開關(guān)置為“0”時燈亮,開關(guān)置為“1”時燈滅。⑤啟動時序電路(按動自動按鈕“START”),即將微代碼寫入到2816E2PROM的相應(yīng)地址對應(yīng)的單元中。⑥重復(fù)③-⑤步驟,將表6-2的微代碼寫入2816E2PROM2/2/20231076.4實驗步驟1)設(shè)計本實驗的機器指令語言程序,如左表

地址內(nèi)容助記符說明0000000000000000IN“INPUTDEVICE”->R00000000100010000ADD[0AH]R0+[0AH]->R000000010000010100000001100100000STA[0BH]R0->[0BH]00000100000010110000010100110000OUT[0BH][0BH]->BUS00000110000010110000011101000000JMP[00H]00H->PC0000100000000000000010010000101000000001自定義00001011求和結(jié)果2/2/20231086.4實驗步驟

2)按圖6-4接線2/2/20231096.4實驗步驟3)手動寫程序

(a)先將機器微指令對應(yīng)的微代碼(表6-7的內(nèi)容)正確地寫入微程序存儲器2816E2PROM中,因在實驗六中已經(jīng)把上述微代碼寫入了2816E2PROM,所以本次實驗只要檢驗、修改正確后就可使用(如果需要輸入或改寫微程序代碼,則先要按圖6-6接線,完成微代碼數(shù)入后,再恢復(fù)到本次實驗接線圖6-4的接線)2/2/20231106.4實驗步驟3)手動寫程序

(b)使用控制臺KWE和KRD微程序來進(jìn)行機器指令程序的裝入和檢查微程序控制

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論