八路數(shù)字搶答器控制系統(tǒng)_第1頁
八路數(shù)字搶答器控制系統(tǒng)_第2頁
八路數(shù)字搶答器控制系統(tǒng)_第3頁
八路數(shù)字搶答器控制系統(tǒng)_第4頁
八路數(shù)字搶答器控制系統(tǒng)_第5頁
已閱讀5頁,還剩17頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

題目:八路數(shù)字搶答器控制系統(tǒng)

一、大作業(yè)摘要74系列常用集成電路設(shè)計(jì)的數(shù)碼顯示八路搶答器電路組成、設(shè)計(jì)思路及功能。該搶答器除具有基本的搶答功能外,還具有定時(shí)、計(jì)時(shí)和報(bào)警功能。主持人通過時(shí)間預(yù)設(shè)開關(guān)預(yù)設(shè)供搶答的時(shí)間,系統(tǒng)將完成自動(dòng)倒計(jì)時(shí)。若在規(guī)定的時(shí)間內(nèi)有人搶答,則計(jì)時(shí)將自動(dòng)停止;若在規(guī)定的時(shí)間內(nèi)無人搶答,則系統(tǒng)中的蜂鳴器將發(fā)響,提示主持人本輪搶答無效,實(shí)現(xiàn)報(bào)警功能。。二、設(shè)計(jì)的背景、目的和意義

1.隨著科技的發(fā)展,現(xiàn)在的搶答器向著數(shù)字化、智能化的方向發(fā)展。這就必然提高了智能搶答器的制造成本2.鑒于現(xiàn)在小規(guī)模的知識(shí)競賽越來越多,操作簡單,經(jīng)濟(jì)實(shí)用的小型搶答器肯定很有市場。因此,我選擇簡易邏輯數(shù)字搶答器這一課題。

三、設(shè)計(jì)任務(wù)及要求一般來說,設(shè)計(jì)一臺(tái)數(shù)字搶答器,必須能夠準(zhǔn)確判斷出第一位搶答者,并且通過數(shù)顯、蜂鳴這些途徑能讓人們很容易得知誰是搶答成功者,并設(shè)置一定的回答限制時(shí)間,讓搶答者在規(guī)定時(shí)間內(nèi)答題,主持人根據(jù)答題結(jié)果控制搶答器的清零復(fù)位,掌握比賽的進(jìn)程。所以我在設(shè)計(jì)8路數(shù)字搶答器的模塊需要滿足鑒別、搶答報(bào)警、回答倒計(jì)時(shí)、數(shù)顯等功能,具體設(shè)計(jì)要求如下:(1)搶答器可容納八組選手,并為每組選手設(shè)置一個(gè)按鈕供搶答者使用;為主持人設(shè)置一個(gè)控制按鈕,用來控制系統(tǒng)清零(組別顯示數(shù)碼管滅燈)和搶答開始;(2)電路具有對(duì)第一搶答信號(hào)的鎖存、鑒別和顯示等功能。在主持人將系統(tǒng)復(fù)位并發(fā)出搶答指令后,若參賽選手按下?lián)尨鸢粹o,則該組別的信號(hào)立即被鎖存,并在組別顯示器上顯示該組別,同時(shí)揚(yáng)聲器也給出音響提示,此時(shí),電路具備自鎖功能,使其他搶答按鈕不起作用。(3)搶答器具有限時(shí)回答問題的功能,當(dāng)主持人啟動(dòng)倒計(jì)時(shí)開始鍵后,要求計(jì)時(shí)器采用倒計(jì)時(shí),同時(shí)最后計(jì)時(shí)器倒計(jì)時(shí)到00時(shí)揚(yáng)聲器會(huì)發(fā)出聲響提示;。

四、確定輸入和輸出變量

輸入:各組的搶答按鈕keyin1、keyin2、keyin3、keyin4、keyin5、keyin6、keyin7,clk:時(shí)鐘信號(hào)。rst:復(fù)位信號(hào)輸出:各組的搶答按鈕顯示端sel【2:0】數(shù)碼管選擇輸出display【7:0】數(shù)碼管顯示輸出六、整體設(shè)計(jì)框圖七、輸入和輸出變量對(duì)應(yīng)部件說明input:clk:時(shí)鐘信號(hào)rst:復(fù)位信號(hào)keyin[7:0]:八位搶答按鍵output:sel【2:0】數(shù)碼管選擇輸出display【7:0】數(shù)碼管顯示輸出八、方案論證方案1:基于單元電路原理實(shí)現(xiàn)方案2:基于verilog原理實(shí)現(xiàn)方案論證以上兩種實(shí)現(xiàn)方法中,第一種方法電路較復(fù)雜不便于制作,可靠性低,實(shí)現(xiàn)起來很困難;而第二種方法只需要軟件仿真出來,在一塊EDA實(shí)驗(yàn)箱上就能實(shí)現(xiàn),制作簡單,而且成本低。綜合比較之下,我決定采用第二種方案實(shí)現(xiàn)本課題。根據(jù)第二種方案的的框圖所示,整個(gè)系統(tǒng)分為以下幾個(gè)模塊來分別實(shí)現(xiàn):1.搶答判別模塊:它的功能是鑒別八組中是那組搶答成功并且把搶答成功的組別信號(hào)輸出給鎖存模塊。2.復(fù)位控制模塊:給節(jié)目主持人設(shè)置一個(gè)控制開關(guān),用來控制系統(tǒng)的清零和搶答的開始。3.鎖存模塊:該電路的作用是當(dāng)?shù)谝粋€(gè)搶答者搶答后,對(duì)第一個(gè)搶答者的組別進(jìn)行鎖存并顯示在數(shù)碼管上,后面的搶答者信號(hào)全都無響應(yīng),直到主持人按下復(fù)位鍵。4.顯示報(bào)警模塊:就是把各個(gè)模塊的輸入的不同信號(hào)經(jīng)過譯碼成BCD碼然后直接在數(shù)碼管上顯示,還可以加上蜂鳴器的聲音,更能給觀眾一個(gè)準(zhǔn)確、簡明的數(shù)字。5.在以上功能實(shí)現(xiàn)后,還可以擴(kuò)展實(shí)現(xiàn)一些其他功能,比如答題倒計(jì)時(shí)等功能。4.搶答器具有定時(shí)搶答功能,且一次搶答的時(shí)間由主持人設(shè)定(如,30秒)。當(dāng)主持人啟動(dòng)"開始"鍵后,定時(shí)器進(jìn)行減計(jì)時(shí)。5.參賽選手在設(shè)定的時(shí)間內(nèi)進(jìn)行搶答,搶答有效,定時(shí)器停止工作,顯示器上顯示選手的編號(hào)和搶答的時(shí)間,并保持到主持人將系統(tǒng)清除為止。

狀態(tài)圖

顯示倒計(jì)時(shí)倒計(jì)時(shí)停止顯示序號(hào)報(bào)警燈報(bào)警倒計(jì)時(shí)停止不再顯示任何編號(hào)有人搶答鍵按下上電復(fù)位有人搶答鍵按下倒計(jì)時(shí)小于5秒初始30秒結(jié)束moduleqiangdaqi(//模塊聲明,input表示輸入,output表時(shí)輸出inputclk,//主時(shí)鐘輸入,時(shí)序邏輯電路得根本inputrst,//復(fù)位,按下按鍵則程序回歸到上電時(shí)的初始狀態(tài)input[7:0]keyin,//八個(gè)按鍵輸入outputreg[3:0]disp_en,//3位輸出outputreg[7:0]display,//數(shù)碼管輸出outputregout_led);reg[2:0]sel;reg[9:0]count;//計(jì)數(shù)器計(jì)數(shù)寄存器regclk_1hz,flag;//clk_1hz:主時(shí)鐘經(jīng)過2000分頻后的信號(hào)reg[4:0]t;//30秒倒計(jì)時(shí)reg[3:0]disp;//數(shù)碼管顯示數(shù)據(jù)存儲(chǔ)reg[3:0]data;//按鍵號(hào)存儲(chǔ)reg[16:0]count_1k;regclk_1k;always@(posedgeclkornegedgerst)beginif(!rst)beginclk_1k<=0;count_1k<=0;endelseif(count_1k==16'd25000)begincount_1k<=0;clk_1k<=~clk_1k;endelsecount_1k<=count_1k+1;endalways@(posedgeclk_1k)//第一個(gè)ALWAYS語句塊,主時(shí)鐘CLK的下降沿觸發(fā),if(count<500)//實(shí)現(xiàn)計(jì)數(shù)器的計(jì)數(shù)count=count+1;//如果count<=999,就一直往上加elseebegincount=0;//知道加到1000,就把count清零,clk_1hz取反clk_1hz=~clk_1hz;//從而實(shí)現(xiàn)主時(shí)鐘的2000分頻endalways@(posedgeclkornegedgerst)//第二個(gè)ALWAYS語句塊,CLK上升沿及RST下降begin//沿觸發(fā)if(!rst)begindata<=4'b1010;//如果按下復(fù)位鍵rst,則把數(shù)據(jù)位清零flag<=1'b0;//把FLAG標(biāo)志信號(hào)清零endelseif(!flag&&t)//如果沒有按下復(fù)位鍵而且t不為0case(keyin[7:0])//根據(jù)按鍵的不同來實(shí)現(xiàn)不同的輸出,同時(shí)把標(biāo)志8'b00000001:begindata<=4'b0001;flag<=1;end//位FLAG置1.8'b00000010:begindata<=4'b0010;flag<=1;end8'b00000100:begindata<=4'b0011;flag<=1;end8'b00001000:begindata<=4'b0100;flag<=1;end8'b00010000:begindata<=4'b0101;flag<=1;end8'b00100000:begindata<=4'b0110;flag<=1;end8'b01000000:begindata<=4'b0111;flag<=1;end8'b10000000:begindata<=4'b1000;flag<=1;enddefault:begindata<=data;flag<=flag;end//不是上述情況,維持DATAendcase//以及FLAG不變else//這兩句沒有用處endalways@(posedgeclk_1hzornegedgerst)//第三個(gè)always語句塊,clk_1hzbegin//上升沿以及rst下降沿觸發(fā)if(!rst)beginout_led<=1;//如果復(fù)位鍵按下,則把t初始為30t<=30;endelseif(t>0&&!flag)//如果t比0大,而且flag為0t<=t-1;

elsebegin//t減一t<=t;end //對(duì)于其他情況,維持t之前的值endalways@(posedgeclk_1kornegedgerst)//產(chǎn)生數(shù)碼管掃描信號(hào),經(jīng)三八譯碼器輸出數(shù)碼begin//管位選信號(hào)//第四個(gè)ALWAYS語句塊,CLK上升沿及RST下降if(!rst)sel[2:0]<=3'b000;//把sel計(jì)數(shù)器初始化為0elsebeginsel[2:0]<=sel[2:0]+3'b001;//每來一個(gè)個(gè)時(shí)鐘增加一endendalways@(*)//*表示有變化,程序里所有的信號(hào)只要有變化begin//本語句就會(huì)被觸發(fā)case(sel[2:0])3'b000:begindisp[3:0]=t/10;disp_en[3:0]=4'b1110;end//當(dāng)sel是000的時(shí)候,取倒計(jì)時(shí)t的十位3'b001:begindisp[3:0]=t%10;disp_en[3:0]=4'b1101;end//001時(shí),取倒計(jì)時(shí)的各位3'b010:begindisp[3:0]=4'b1111;disp_en[3:0]=4'b1111;end//010時(shí),配合下面的always語句塊,實(shí)現(xiàn)全滅3'b011:begindisp[3:0]=4'b1010+clk_1hz;disp_en[3:0]=4'b1111;end//配合clk_1hz,以及下面的語句塊,3'b100:begindisp[3:0]=4'b1010+clk_1hz;disp_en[3:0]=4'b1111;end//實(shí)現(xiàn),倒計(jì)時(shí)中‘。’的閃爍3'b101:begindisp[3:0]=4'b1010+clk_1hz;disp_en[3:0]=4'b1011;end3'b110:begindisp[3:0]=4'b1111;disp_en[3:0]=4'b1111;end//110時(shí),配合下面的always語句塊,實(shí)現(xiàn)全滅

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論