開題報告(模版)_第1頁
開題報告(模版)_第2頁
開題報告(模版)_第3頁
開題報告(模版)_第4頁
開題報告(模版)_第5頁
已閱讀5頁,還剩2頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領

文檔簡介

**畢業(yè)設計(論文)開題報告設計(論文)題目于FPGA的出租車計費系統(tǒng)的設計院系名稱:電氣與信息工程學院專業(yè)班級:電子信息工程09-2班學生姓名:導師姓名:開題時間:2013年3月15號**一課題研究目的和意義在我國社會經(jīng)濟的全面發(fā)展過程中,各大中小城市的出租車營運事業(yè)也迅速發(fā)展,出租車已經(jīng)成為人們?nèi)粘3鲂羞x擇較為普遍的交通工具。出租車計價器是出租車營運收費的專用智能化儀表,是出租車市場規(guī)范化、標準化以及減少司機與乘客之間發(fā)生糾紛的重要設備。為了保護營運者和乘客的經(jīng)濟利益,世界上的多數(shù)國家都對作為貿(mào)易結(jié)算用的出租汽車計價器進行強制管理[1]。我國《計量法》也將出租汽車計價器列入強檢目錄,實行強制檢定。1999年,國家質(zhì)量技術(shù)監(jiān)督局又將出租汽車計價器列為六種重點管理的計量器具之一⑵。出租車行業(yè)以低價高質(zhì)的服務給人們帶來了出行的享受。但是總存在著買糾紛困擾著行業(yè)的發(fā)展。而在出租車行業(yè)中解決這一矛盾的最好方法就是改良計價器。汽車計價器是乘客與司機雙方的交易準則它是出車行業(yè)發(fā)展的重要標志是出租車中最重要的工具。它關系著交易雙方的利益。具租有良好性能的計價器無論是對廣大出租車司機朋友還是乘客來說都是很必要的。因此汽車計價器的研究也是十分有應用價值的[%。一種功能完備、簡單易用、計量準確的出租車計價器是加強出租車行業(yè)管理、提高服務質(zhì)量的必備品。當計費系統(tǒng)在各大、中城市出租車中使用越來越廣泛,用戶對計費器的要求也越來越高。以出租車多功能計費器為例,用戶不僅要求計費器性能穩(wěn)定,計費準確,有防作弊功能;同時還要求其具有車票打印、語音報話識別、電腦串行通信及稅控等功能;不同國家和地區(qū)的收費方式存在差異,即使在同一地區(qū),不同車型的出租車收費方式也有差別,而且出租車還面臨幾年一次的調(diào)價或調(diào)整收費方式等問題[4]。采用模擬電路和數(shù)字電路設計的計價器整體電路的規(guī)模較大用到的器件多造成故障率高難調(diào)試對于模式的切換需要用到機械開關機械開關時間久了會造成接觸不良功能不易實現(xiàn)。采用單片機設計相對來說功能強大用,較少的硬件和適當?shù)能浖嗷ヅ浜峡梢院苋菀椎膶崿F(xiàn)設計要求且靈活性強,可以通過軟件編程來完成更多的附加功能,然而傳統(tǒng)的基于單片機設計的出租車多功能計費器已遠遠跟不上這種變化,功能升級很繁瑣,需要硬件重組和軟件更新同步進行,成本高,并且每次升級都可能出現(xiàn)新的不穩(wěn)定因素;而基于現(xiàn)場可編程邏輯陣列(FPGA)的出租車多功能計費器,采用硬件描述語言VerilogHDL和邏輯綜合為基礎的自頂向下的電路設計方法,開發(fā)成本低,周期短,可靠性高,功能升級方便,滿足了用戶的要求。該系統(tǒng)在不改變硬件電路的前提下,具有可以重構(gòu)系統(tǒng)的功能;采用完全相同電路結(jié)構(gòu),只要根據(jù)各地區(qū)的要求在VerilogHDL程序中設置各參數(shù),就可以適應各地區(qū)出租車不同計費標準的需要,還可以根據(jù)各地區(qū)需求增加其他功能⑸。二文獻綜述計價器是出租汽車的經(jīng)營者和乘坐出租汽車的消費者之間用于公平貿(mào)易結(jié)算的工具,因而計價器計價準確與否,直接關系到經(jīng)營者和消費者的經(jīng)濟利益。依據(jù)國家有關法律、法規(guī),出租汽車計價器是列入國家首批強制檢定的工作計量器具之一,也是近年來國家質(zhì)量技術(shù)監(jiān)督部門強化管理的六類重點計量器具之一。在出租車是城市交通的重要組成部分,行業(yè)健康和發(fā)展也獲得越來越多的關注。汽車計價器是乘客與司機雙方的交易準則,它是出租車行業(yè)發(fā)展的重要標志,是出租車中最重要的工具。它關系著交易雙方的利益。具有良好性能的計價器無論是對廣大出租車司機朋友還是乘客來說都是很必要的[6]。我國的第一家生產(chǎn)計價器企業(yè)是重慶市起重機廠,最早的計價器全部采用機械齒輪結(jié)構(gòu),只能完成簡單的計程功能,可以說早期的計價器就是一個里程表。隨著科學技術(shù)的發(fā)展,產(chǎn)生了第二代計價器。它采用了手搖計算機與機械結(jié)構(gòu)相結(jié)合的方式,實現(xiàn)了半機械半電子化。此時它在計程的同時還可以完成計價的工作。大規(guī)模集成電路的發(fā)展又產(chǎn)生了第三代計價器,也就是全電子化的計價器。它的功能也在不斷完善當單片機出現(xiàn)并應用于計價器后,現(xiàn)代出租車計價器的模型也就基本具備了,它可以完成計程,計價,顯示等基本工作。單片機以及外圍芯片的不斷發(fā)展促進了計價器的發(fā)展⑺。從國內(nèi)外的各種研究方法來看,實現(xiàn)出租車計費系統(tǒng)總共有三種方案。利用大規(guī)模的數(shù)字邏輯器件來實現(xiàn),利用89C51實現(xiàn),利用CPLD/FPGA來實現(xiàn)。二十世紀后半期,數(shù)字系統(tǒng)得到了飛速發(fā)展,同時為了提高系統(tǒng)的可靠性與通用性,微處理器和專業(yè)集成電路逐漸取代了通用全硬件電路。目前,業(yè)界大量可編程邏輯器件,尤其是現(xiàn)場可編程器件被大量地應用在集成電路的制作當中㈤。最近幾年出租車行業(yè)發(fā)展迅速,在全國有幾千家出租車公司,出租車計費器的市場非常龐大。目前市場上使用的出租車計費器主要采用的都是利用MCU如89C51單片機實現(xiàn)的計費器設計,顯示方式上主要采用的是固定顯示內(nèi)容的LED顯示[9]。如圖1所示。圖1傳統(tǒng)LED顯示的計費器以AT89S51單片機為核心器件,附加A44E霍爾傳感器測距,實現(xiàn)對出租車的計價統(tǒng)計.采用AT24C02實現(xiàn)在系統(tǒng)掉電時保存單價和系統(tǒng)時間等信息,輸出采用8段數(shù)碼顯示管.用該電路設計的計價器不但能實現(xiàn)基本的計價,而且還能根據(jù)白天、黑夜、中途等待來調(diào)節(jié)單價,同時在不計價的時候還能作為時鐘為司機提供方便[1。]。傳統(tǒng)的出租車計費器由于發(fā)展使用了十幾年,在穩(wěn)定性、成本、以及使用習慣上都具有一些優(yōu)勢,但是隨著出租車價格市場化,我國加入WTO以來主導出租車行業(yè)成本的許多因素主要包括油價的波動,都對傳統(tǒng)的出租車計費器提出了更高的要求[11]。近一年來,各大城市都在對出租車價格進行調(diào)整,由于數(shù)量太多,很多城市的調(diào)價甚至需要一個月的時間才能完成,經(jīng)常會同一時間出現(xiàn)幾個價格,有的城市的出租車上還會出現(xiàn)司機人工計價的尷尬情形。這些都暴露了傳統(tǒng)計費器在靈活性和升級換代能力的天生不足"I。傳統(tǒng)計費器的不足可以體現(xiàn)在以下幾點:產(chǎn)品更新周期長計價方式的不靈活顯示方式的不靈活在生活出租車計價系統(tǒng)較多的是利用單片機進行控制,但較易被私自改裝,且故障率相對較高【⑶;FPGA有多種配置模式:并行主模式為一片F(xiàn)PGA加一片EPROM的方式;主從模式可以支持一片PROM編程多片F(xiàn)PGA;串行模式可以采用串行PROM編程FPGA;外設模式可以將FPGA作為微處理器的外設,由微處理器對其編程°FPGA具有高密度、可編程及有強大的軟件支持等特點,所以設計的產(chǎn)品具有功能強、可靠性高、靈活性好等特點。在本設計中針對目前常用的這兩種設計方案(單片機設計方案和FPGA設計方案)進行了優(yōu)劣比較,使用FPGA來實現(xiàn)系統(tǒng)設計,根據(jù)預定的設計要求和設計思路進行了功能設計US三基本內(nèi)容和預期達到的目標基本內(nèi)容:設計一款基于FPGA的出租車計價器,無人乘車時正常顯示空車指示牌,LED顯示器顯示當?shù)貢r間;乘客上車時,司機按電鈕開始計費,扳倒空車指示牌并熄滅空車指示牌里的指示燈,并提示此時已開始計費。當乘客中途下車時,出租車減速停車,計價器開始計時。當乘客回來時,出租車開車,計價器結(jié)束計時,并回到計程階段繼續(xù)計程(如果出租車再次啟動后,乘客還需要離開,則計價器回到計時階段,繼續(xù)計時)。到達目的地時停車,司機按電鈕結(jié)束計價空車指示牌被扶起,點亮空車指示牌的指示燈,并語音提示結(jié)束計費,此時顯示器顯示為此次乘客乘車的總費用,等待5分鐘后,回到時鐘顯示界面。預期達到的目標:計費及顯示里程用四位數(shù)字顯示,單位為km,精確到0.1km。單價用三位數(shù)字顯示,單價為元/km,根據(jù)每天不同的時間段有兩種情況:當時間段為06:00?23:00時單價為3元/km,其他時間段內(nèi)單價為2元/km。等候時間,用四位數(shù)字顯示分鐘和秒。等候單價,等候單價有兩種情況:在等候時間小于1小時的情況下,等候單價為1元每5分鐘;在等候時間大于1小時的情況下,等候單價為20元每小時。費用的計算,出租車的起價為8.00元,當里程小于3km時,按起價計算費用;當里程大于3km時按下式計算費用: 費用=里程X里程單價+等候時間X等候單價費用的顯示,用五位數(shù)字顯示,顯示方式為“XXX.XX”,單價為元。時鐘及顯示當出租車在常運狀態(tài)下,應能顯示當前的時間。計費開始提示當出租車載上乘客并起步后,將空車指示牌扳倒時,空車指示牌里的指示燈熄滅,并有語音或燈光提示信號。四整體方案系統(tǒng)的整體方框圖如圖2所示。圖2系統(tǒng)的整體方框圖本系統(tǒng)中FPGA應該完成的功能分解為7個功能模塊,它們分別是分頻器模塊FPQ、等待判別模塊DDPB、里程計算模塊LCJS、里程計費模塊LCJF、等待計時模塊DDJS,等待計費模塊DDJF、輸出數(shù)據(jù)選擇模塊SCXZ。分頻器模塊FPQ,產(chǎn)生1Hz系統(tǒng)時鐘信號C,供系統(tǒng)中其他相關模塊使用。等待判別模塊DDPB根據(jù)速度傳感器脈沖時鐘信號WCLK和基準時鐘信號CLK1HZ,計算出單位時間里WCLK的脈沖個數(shù)(假定每公里產(chǎn)生1000個脈沖信號,即每米一個脈沖),即出租車行駛速度,從而判斷出租車是否處于等待狀態(tài),產(chǎn)生等待標志。里程計算模塊LCJS根據(jù)速度傳感器脈沖信號WCLK和等待標志DDBZ,對出租車行駛的里程XSLC進行計算,同時產(chǎn)生里程標志信號LCBZ和里程計費標志信號JFBZ。里程計費模塊LCJF在計費標志信號JFBZ、等待標志DDBZ、里程標志信號LCBZ和時段標志信號SDBZ等信號的控制下,計算行駛里程超過3km以上的里程費用LCFY。等待計時模塊DDJs在等待標志信號DDBZ和基準時鐘信號CLKlHZ的控制下,進行等待時間計算。等待計費模塊DDJF在等待標志信號DDBZ和熄燈標志信號XDBZ的控制下,進行等待計費操作。輸出數(shù)據(jù)選擇模塊SCXZ根據(jù)單片機發(fā)出的數(shù)據(jù)傳輸選擇控制信號SEL,選擇相應的數(shù)據(jù)計算處理結(jié)果傳輸給單片機。五技術(shù)路線或研究方法了解開發(fā)流程對使用QuartusII軟件、優(yōu)化設計項目、提高設計效率十分有益。其次最主要的是對QuartusII軟件的使用,了解其基本設計流程和軟件操作與設置。熟悉VHDL語言的結(jié)構(gòu)與規(guī)范,根據(jù)文獻中的例子進行編寫。利用VHDL語言對各個功能單元進行系統(tǒng)論證,并展開設計。完成頂層實體的原理圖設計,根據(jù)設計方案分別設計FPGA系統(tǒng)CZJFXT的七個模塊:分頻器模塊FPQ,等待判別模塊DDPB,里程計算模塊LCJS,里程計費模塊LCJF,等待計時模塊DDJS,等待計費模塊DDJF,輸出數(shù)據(jù)選擇模塊SCXZ。根據(jù)總體方案框圖,進行硬件系統(tǒng)的連接,將軟件下載到FPGA中,并對各個部分進行仿真。根據(jù)硬件系統(tǒng)設計方案搭建時鐘電路,數(shù)碼顯示電路等。編寫FPGA軟件模塊VHDL程序,下載到硬件電路中進行調(diào)試。搭建信號整形電路,并與FPGA相連,校驗電路。六進度安排1-2周:查閱資料,完成設計的開題報告。3-4周:完成基于FPGA的出租車計費系統(tǒng)的設計。5-7周:完成頂層實體的原理圖設計。8-10周:完成分模塊硬件描述語言程序設計。11-13周:代碼調(diào)試,并進行編譯、綜合得出仿真結(jié)果。14-15周:撰寫畢業(yè)設計說明書。16周:上交畢業(yè)論文、完成PPT講稿、準備答辯。17周:答辯。參考文獻[I] 丁舒平.國內(nèi)出租車行業(yè)發(fā)展現(xiàn)狀和分析[EB].中國智能交通網(wǎng),2012-02-09.⑵陳鴻俊.必須加強出租汽車計價器的管理[J].計量與測試技術(shù),1994年06期[3] 吳冬梅,吳延海,鄧玉玖.基于CPLD/FPGA的出租車計費器[J]:電子技術(shù)應用;2004年11期.[4] 應朝龍,趙劍峰,劉海濱.ZH-CJ1出租車合乘計價器設計[J].電子測量與儀器學報,2002:1056-1062.[5] [英]JoneWulenskl.VHDLDigtalSystemDesign[D],北京:電子工業(yè)出版社,2004,10?105.[6] 凌璟.基于VHDL的出租車計價器設計[J]:蘇州大學,2009.[7] 丁舒平.國內(nèi)出租車行業(yè)發(fā)展現(xiàn)狀和分析[EB].中國智能交通網(wǎng),2012-02-09.[8] 億特科技.CPLD/FPGA應用系統(tǒng)設計與基礎篇[M].北京:人民郵電出版社,2005,93?102.[9] 舒濤,伍遠,超李明.基于AT89S51單片機的出租車計價器系統(tǒng)設計[J]:中國民用航空飛行學院,201

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論