4位加法器試驗(yàn)報(bào)告_第1頁(yè)
4位加法器試驗(yàn)報(bào)告_第2頁(yè)
4位加法器試驗(yàn)報(bào)告_第3頁(yè)
全文預(yù)覽已結(jié)束

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

本文格式為Word版,下載可任意編輯——4位加法器試驗(yàn)報(bào)告

硬件描述語(yǔ)言試驗(yàn)

題目:

四位全加器

學(xué)院數(shù)學(xué)與計(jì)算機(jī)學(xué)院學(xué)科門類xxxxxxxxxx專業(yè)xxxxxxxxxx學(xué)號(hào)姓名x指導(dǎo)教師xxxx

20xx年x月xx日

1

1、試驗(yàn)?zāi)康模壕毩?xí)VHDL語(yǔ)言設(shè)計(jì)工程的建立與仿真的步驟和方法、熟悉VHDL語(yǔ)言行為描述的編寫方法。

2、試驗(yàn)環(huán)境:PC個(gè)人計(jì)算機(jī)、WindowsXP操作系統(tǒng)、QuartusII集成開發(fā)環(huán)境軟件。3、試驗(yàn)要求:設(shè)計(jì)一個(gè)四位加法器,其引腳及其功能如下表。

端口模式inB(輸入)Ciout(輸出)4、試驗(yàn)步驟:

(1)用RTL描述方式描述4位加法器

①RTL描述方式是一種明確規(guī)定寄放器描述的方法,它要求在描述時(shí)要么采用寄放器硬件的一一對(duì)應(yīng)的直接描述,要么采用寄放器之間的功能描述。RTL描述方式可以進(jìn)行規(guī)律綜合,這是其他描述方式所不具備的特點(diǎn)。②編輯代碼

這種描述方法詳細(xì)描述了加法器的計(jì)算過(guò)程,即寫出了每一位的計(jì)算和進(jìn)位方法。模塊內(nèi)部(構(gòu)造體說(shuō)明部分)需要定義三個(gè)連接線,定義語(yǔ)句為:signalc0,c1,c2:std_logic代碼如下:libraryieee;

useieee.std_logic_1164.all;entityadder4is

port(a,b:instd_logic_vector(3downto0);ci:instd_logic;

s:outstd_logic_vector(3downto0);co:outstd_logic);endentity;

architecturertlofadder4issignalc0,c1,c2:std_logic;

SCostd_logicstd_logic_vector(3downto0)std_logic低位進(jìn)位和高位進(jìn)位端口名Astd_logic_v

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論