數(shù)字電子技術(shù)與應(yīng)用-宋雪臣課件課題7_第1頁(yè)
數(shù)字電子技術(shù)與應(yīng)用-宋雪臣課件課題7_第2頁(yè)
數(shù)字電子技術(shù)與應(yīng)用-宋雪臣課件課題7_第3頁(yè)
數(shù)字電子技術(shù)與應(yīng)用-宋雪臣課件課題7_第4頁(yè)
數(shù)字電子技術(shù)與應(yīng)用-宋雪臣課件課題7_第5頁(yè)
已閱讀5頁(yè),還剩95頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

課題7數(shù)/模、模/數(shù)轉(zhuǎn)換及其應(yīng)用

課題描述數(shù)字系統(tǒng)特別是計(jì)算機(jī)的應(yīng)用范圍越來(lái)越廣,它們處理的都是不連續(xù)的數(shù)字信號(hào),處理后的結(jié)果也是數(shù)字信號(hào)。然而實(shí)際所遇到的許多物理量,如語(yǔ)音、溫度、壓力、流量、亮度等都是在數(shù)值上和時(shí)間上連續(xù)變化的模擬量,這些物理量經(jīng)傳感器轉(zhuǎn)換后的電壓或電流也是連續(xù)變化的模擬信號(hào),這些模擬信號(hào)不能直接送入數(shù)字系統(tǒng)處理,需要把它們先轉(zhuǎn)換成相應(yīng)的數(shù)字信號(hào),然后才能輸入數(shù)字系統(tǒng)進(jìn)行處理。處理后的數(shù)字信息也必須先轉(zhuǎn)換成電模擬量,送到執(zhí)行元件中才能對(duì)控制對(duì)象實(shí)行實(shí)時(shí)控制,進(jìn)行必要的調(diào)整。這一過(guò)程如圖7.1所示。圖中,A/D轉(zhuǎn)換器簡(jiǎn)稱ADC(AnAlOG

tO

DiGitAl

COnVERtER),就是把輸入的模擬量轉(zhuǎn)換成數(shù)字量的電路,而D/A轉(zhuǎn)換器簡(jiǎn)稱DAC(DiGitAl

tO

AnAlOG

COnVERtER),就是把輸入的數(shù)字量轉(zhuǎn)換成模擬量(電壓或電流)輸出的電路。它們都是數(shù)字系統(tǒng)中必不可少的組成部分。本課題將研究DAC及ADC的基本工作原理及應(yīng)用。

ADC被廣泛應(yīng)用于數(shù)字式電壓表、溫度儀表及其他數(shù)字式檢測(cè)儀表中。目前在無(wú)線電通信、遙感、遙測(cè)、遙控等遠(yuǎn)距離信息傳輸中,采用數(shù)字信號(hào)進(jìn)行傳輸,具有保密性好、抗干擾能力強(qiáng)等特點(diǎn)。本課題將首先研究D/A轉(zhuǎn)換器,這是由于DAC比ADC簡(jiǎn)單些,而且在ADC中有時(shí)也要用到DAC。7.1D/A轉(zhuǎn)換器

7.11D/A轉(zhuǎn)換原理1.DA轉(zhuǎn)換過(guò)程D/A轉(zhuǎn)換器的輸入量是n位二進(jìn)制數(shù)D=dn-1dn-2…d1d0。D可以按位權(quán)展開(kāi)為十進(jìn)制數(shù):D=dn-1×2n-1+dn-2×2n-2+…+d1×21+d0×20(7.1)D/A轉(zhuǎn)換器的輸出量是和輸入的數(shù)字量成正比的模擬量A(電壓或電流)即A=KD=K(dn-1×2n-1+dn-2×2n-2+…+d1×21+d0×20)(7.2)式中的K為D/A轉(zhuǎn)換的比例系數(shù),K可以由轉(zhuǎn)換電路的條件確定。

D/A轉(zhuǎn)換的過(guò)程是:把輸入的二進(jìn)制數(shù)字量中為1的各位,按其不同的位權(quán)值,分別轉(zhuǎn)換成對(duì)應(yīng)的模擬量(如電流值),再把這些代表若干位權(quán)值的各個(gè)模擬量相加求和,即可得到與輸入數(shù)字量的大小成正比的模擬量(如電壓量),從而實(shí)現(xiàn)數(shù)字量向模擬量的轉(zhuǎn)換。輸入到DAC的數(shù)字信息可以是原碼,也可以是反碼或補(bǔ)碼。圖7.2是原碼輸入的三位二進(jìn)制DAC的轉(zhuǎn)換特性,它具體而形象地反映了對(duì)DAC的基本要求。

DAC輸出模擬量的大小與輸入數(shù)字量大小成正比。兩個(gè)相鄰數(shù)碼轉(zhuǎn)換出的電壓值之間的差值,是信息所能分辨的最小量(1LSB);最大輸入數(shù)字量對(duì)應(yīng)的輸出電壓值(絕對(duì)值)用FSR表示。2.DA轉(zhuǎn)換器的一般組成DA轉(zhuǎn)換器主要由數(shù)字寄存器、模擬電子開(kāi)關(guān)、譯碼網(wǎng)絡(luò)(也叫位權(quán)網(wǎng)絡(luò))、求和運(yùn)算放大器和基準(zhǔn)電壓源VREF(或恒流源)組成,如圖7.3所示。用存放在數(shù)字寄存器中的數(shù)字量的各位數(shù)碼,分別控制對(duì)應(yīng)位的模擬電子開(kāi)關(guān),使數(shù)碼為1的位在位權(quán)網(wǎng)絡(luò)上產(chǎn)生與其位權(quán)成正比的電流值,再由運(yùn)算放大器對(duì)各電流值求和,并轉(zhuǎn)換成電壓值。3.D/A轉(zhuǎn)換器的分類D/A轉(zhuǎn)換器通常根據(jù)譯碼網(wǎng)絡(luò)的不同,分為多種D/A轉(zhuǎn)換器,如權(quán)電阻網(wǎng)絡(luò)、T形電阻網(wǎng)絡(luò)D/A轉(zhuǎn)換器、倒T形電阻網(wǎng)絡(luò)D/A轉(zhuǎn)換器和權(quán)電流型D/A轉(zhuǎn)換器等。不同類型的DAC,主要是位權(quán)網(wǎng)絡(luò)不同,下面介紹幾種典型的DAC。7.1.2權(quán)電阻網(wǎng)絡(luò)DAC

1.電路結(jié)構(gòu)圖7.4是4位權(quán)電阻網(wǎng)絡(luò)D/A轉(zhuǎn)換器的原理圖,它由權(quán)電阻網(wǎng)絡(luò)、4個(gè)模擬開(kāi)關(guān)和1個(gè)求和放大器組成。2.工作原理S3、S2、S1和S0是4個(gè)電子開(kāi)關(guān),它們的狀態(tài)分別受輸入代碼d3,d2,d1和d0的取值控制,代碼為1時(shí)開(kāi)關(guān)接到參考電壓VREF上,代碼為0時(shí)開(kāi)關(guān)接地。故Di=1時(shí)有支路電流Ii流向求和放大器,Di=0時(shí)支路電流為零。求和放大器是一個(gè)接成負(fù)反饋的運(yùn)算放大器。根據(jù)“虛斷”的結(jié)論有:,即uO=-RF

iΣ=-RF(I3+I2+I1+I0)(7.3)而根據(jù)“虛地”的結(jié)論有I3=d3(d3=1時(shí)I3=VREF/R,d3=0時(shí)I3=0)d2d1d0將它們代入式(7.3)并取RF=R/2,則得到uO=(d323+d222+d121+d020)(7.4)將上述分析結(jié)果推廣一下,則對(duì)于n位的權(quán)電阻網(wǎng)絡(luò)D/A轉(zhuǎn)換器,當(dāng)反饋電阻為R/2時(shí),輸出電壓的計(jì)算公式可以寫為uO=(dn-12n-1+dn-22n-2+…+d121+d020)=N10(7.5)上式表明,輸出的模擬電壓正比于輸入的二進(jìn)制數(shù)碼所對(duì)應(yīng)的十進(jìn)制數(shù)N10,從而實(shí)現(xiàn)了從數(shù)字量到模擬量的轉(zhuǎn)換。當(dāng)Dn=0,時(shí)uO=0;當(dāng)Dn=11…11時(shí),uO=。故uO

的最大變化范圍是0~

。3.電路的改進(jìn)這個(gè)電路的優(yōu)點(diǎn)是結(jié)構(gòu)比較簡(jiǎn)單,所用的電阻元件數(shù)很少。它的缺點(diǎn)是各個(gè)電阻的阻值相差較大,尤其在輸入信號(hào)的位數(shù)較多時(shí),這個(gè)問(wèn)題就更加突出。例如當(dāng)輸入信號(hào)增加到8位時(shí),如果取權(quán)電阻網(wǎng)絡(luò)中最小的電阻R=10kΩ,那么最大的電阻阻值將達(dá)到27

R=1.28MΩ,兩者相差128倍之多。要想在極為寬廣的阻值范圍內(nèi)保證每個(gè)電阻都有很高的精度是十分困難的,尤其對(duì)制作集成電路更加不利。為了克服這個(gè)缺點(diǎn),在輸入數(shù)字量的位數(shù)較多時(shí)可以采用如圖7.5所示的雙級(jí)權(quán)電阻網(wǎng)絡(luò)。在雙級(jí)權(quán)電阻網(wǎng)絡(luò)中,每一級(jí)仍然只有4個(gè)電阻,它們之間的阻值之比還是1:2:4:8。可以證明,只要取兩級(jí)間的串聯(lián)電阻RS=8R,即可得到可見(jiàn),所得結(jié)果與式(7.5)相同。由于電阻的最大值與最小值相差僅為8倍,所以圖7.5仍為一種可取的方案。7.1.3R-2R倒T型電阻網(wǎng)絡(luò)DAC

1.電路結(jié)構(gòu)圖7.6是4位倒T形電阻網(wǎng)絡(luò)D/A轉(zhuǎn)換器,圖中R、2R兩種電阻構(gòu)成了倒T形電阻網(wǎng)絡(luò),S3、S2、S1、S0是四個(gè)電子模擬開(kāi)關(guān),A是求和放大器,VREF是基準(zhǔn)電壓源。開(kāi)關(guān)S3、S2、S1、S0的狀態(tài)受輸入代碼D3、D2、D1、D0的狀態(tài)控制,當(dāng)輸入的4位二進(jìn)制數(shù)的某位代碼為1時(shí),相應(yīng)的開(kāi)關(guān)將電阻接到運(yùn)算放大器的反相輸入端;當(dāng)某位代碼為0時(shí),相應(yīng)的開(kāi)關(guān)將電阻接到運(yùn)算放大器的同相輸入端。2.工作原理圖7.7為輸入數(shù)字信號(hào)D3D2D1D0=1111時(shí)的等效電路。根據(jù)運(yùn)算放大器的虛地概念不難看出,從虛線AA′、BB′、CC′、DD′處向左看進(jìn)去的電路等效電阻均為R,電源的總電流為I=VREF/R,第一級(jí)支路流入運(yùn)放的電流為I/2。由以上分析不難看出,每經(jīng)過(guò)一級(jí)節(jié)點(diǎn),支路的電流衰減一半,根據(jù)輸入數(shù)字量的數(shù)值,流入運(yùn)放虛地的總電流為

倒T形電阻網(wǎng)絡(luò)D/A轉(zhuǎn)換器的特點(diǎn)是:模擬開(kāi)關(guān)在虛地和地之間轉(zhuǎn)換,不論開(kāi)關(guān)狀態(tài)如何變化,各支路的電流始終不變,因此,不需要電流建立時(shí)間。各支路電流直接流入運(yùn)算放大器的輸入端,不存在傳輸時(shí)間差,因而提高了轉(zhuǎn)換速度,并減小了動(dòng)態(tài)過(guò)程中輸出電壓的尖峰脈沖。倒T形電阻網(wǎng)絡(luò)D/A轉(zhuǎn)換器是目前生產(chǎn)的D/A轉(zhuǎn)換器中速度較快的一種,也是用得最多的一種。7.1.4權(quán)電流型D/A轉(zhuǎn)換電路由于運(yùn)算放大器的輸入阻抗多數(shù)情況下可以近似認(rèn)為無(wú)窮大,所以流過(guò)RF的電流近似等于。由圖7.8得:

7.1.5D/A轉(zhuǎn)換器的主要技術(shù)指標(biāo)1.分辨率分辨率用于描述D/A轉(zhuǎn)換器對(duì)輸入量微小變化的敏感程度。它是輸入數(shù)字量在只有最低有效位(LSB)為1(即為00…01)時(shí)的輸出電壓ULSB與輸入數(shù)字量為全1(即為11…11)時(shí)的輸出滿量程電壓UFS之比。將00…01和11…11代入式(7.2),可得ULSB和UFS,因此對(duì)于n位的DAC,其分辨率S為

S=ULSB/UFS=1/(2n–1)(7.9)例如10位D/A轉(zhuǎn)換器的分辨率為1/(210–1)。如果輸出模擬電壓滿量程為10V,那么10位DAC能夠分辨的最小電壓為10/1023≈0.009775V;而8位D/A轉(zhuǎn)換器能夠分辨的最小電壓為10/255≈0.039215V。可見(jiàn)位數(shù)越高,DAC分辨輸出電壓的能力越強(qiáng)。所以有時(shí)也用輸入數(shù)碼的位數(shù)來(lái)表示分辨率,例如10位DAC的分辨率為10位。分辨率表示D/A轉(zhuǎn)換器在理論上可以達(dá)到的精度。

2.轉(zhuǎn)換精度

通常,轉(zhuǎn)換精度用轉(zhuǎn)換誤差和相對(duì)精度來(lái)描述。轉(zhuǎn)換誤差是在對(duì)應(yīng)給定的滿刻度數(shù)字量情況下,D/A轉(zhuǎn)換器實(shí)際輸出值與理論值之間的誤差。該誤差是由于D/A轉(zhuǎn)換器的增益誤差、零點(diǎn)誤差、線性誤差和噪聲等共同引起的。相對(duì)精度是在滿刻度已校準(zhǔn)的情況下,整個(gè)刻度范圍內(nèi),對(duì)于任一數(shù)碼的模擬量輸出與其理論值之差。對(duì)于線性的D/A轉(zhuǎn)換器,相對(duì)精度就是非線性度。相對(duì)精度有兩種方法表示,一種是用數(shù)字量最低有效位的位數(shù)LSB表示,另一種是用該偏差的相對(duì)滿刻度值的百分比表示。例如某DAC精度為±0.1%,滿量程UFS=10V,則該DAC的最大線性誤差電壓

UE=±0.1%×10V=±10mV轉(zhuǎn)換精度和分辨率是兩個(gè)不同的概念,即使D/A轉(zhuǎn)換器的分辨率很高,但由于電路的穩(wěn)定性不好等原因,也可能使電路的轉(zhuǎn)換精度不高。換句話說(shuō)為了獲得高精度的DAC,單純選用高分辨率的DAC器件是遠(yuǎn)遠(yuǎn)不夠的,還必須考慮采用高穩(wěn)定性的基準(zhǔn)電壓和低漂移運(yùn)放等,此外,必要時(shí)還應(yīng)考慮動(dòng)態(tài)時(shí)轉(zhuǎn)換誤差。例如數(shù)碼從0111變到1000時(shí),若最高位先從0變?yōu)?,則在此瞬間數(shù)碼全為1,輸出將產(chǎn)生一個(gè)尖峰脈沖,因此要在尖峰脈沖消失后,再取輸出電壓。3.轉(zhuǎn)換速度

轉(zhuǎn)換速度由轉(zhuǎn)換時(shí)間決定,轉(zhuǎn)換時(shí)間是指數(shù)據(jù)變化量是滿度值(輸入由全0變?yōu)槿?或全1變?yōu)槿?)時(shí),輸出電壓達(dá)到規(guī)定誤差范圍(±LSB/2)時(shí)所需的時(shí)間。4.線性誤差

由于種種原因,DAC的實(shí)際轉(zhuǎn)換特性與理想轉(zhuǎn)換特性之間是有偏差的,這個(gè)偏差就是線性誤差,如圖7.9所示。理想轉(zhuǎn)換特性是線性的,而實(shí)際轉(zhuǎn)換特性大都是非線性的。它們之間誤差的最大值稱為線性誤差。線性誤差一般也用LSB的分?jǐn)?shù)形式給出,好的DAC線性誤差應(yīng)小于±LSB。5.溫度靈敏度

這項(xiàng)指標(biāo)表明DAC受溫度變化影響的特性。它是指在數(shù)字量輸入不變的情況下,模擬輸出信號(hào)隨溫度的變化。一般DAC的溫度靈敏度為±50ppmV/OC,ppm為百萬(wàn)分之一。6.建立時(shí)間

指輸入數(shù)字量從零變到最大時(shí),其模擬輸出達(dá)到滿刻度值的±LSB對(duì)應(yīng)的值時(shí)所需要的時(shí)間。電流型的DAC轉(zhuǎn)換較快,電壓輸出的DAC較慢,主要是運(yùn)算放大器的響應(yīng)時(shí)間,在實(shí)際應(yīng)用中,要正確選用DAC,使它的轉(zhuǎn)換時(shí)間小于數(shù)字輸入信號(hào)發(fā)生變化的周期。7.電源靈敏度

這項(xiàng)指標(biāo)反映DAC對(duì)電源電壓變化的靈敏程度。它又稱為電源抑制比,其值等于滿量程電壓變化百分?jǐn)?shù)與電源變化的百分?jǐn)?shù)之比。8.輸出電平

不同型號(hào)DAC的輸出電平相差較大,一般DAC為5~10V,而高壓輸出型DAC可達(dá)24~30V;電流型DAC輸出電流相差也較大,低至幾毫安高至幾個(gè)安培。7.1.6集成DAC根據(jù)轉(zhuǎn)換速度、位數(shù)的不同,集成DAC有多種型號(hào)。我們只介紹其中的一種。集成DAC0832是用CMOS工藝制成的8位DAC轉(zhuǎn)換芯片。其數(shù)字輸入端有雙重緩沖功能,可根據(jù)需要接成不同的工作方式,特別適用于要求幾個(gè)模擬量同時(shí)輸出的場(chǎng)合。它與微處理器接口很方便。1.DAC0832的主要技術(shù)指標(biāo)分辨率:8位轉(zhuǎn)換時(shí)間:≤1μs單電源:5~15V線性誤差:≤±0.2%LSB溫度靈敏度:20ppmV/OC功耗:20mW2.DAC0832的內(nèi)部結(jié)構(gòu)DAC0832的內(nèi)部結(jié)構(gòu)如圖7.10所示。DAC0832內(nèi)部由一個(gè)八位輸入寄存器、一個(gè)八位DAC寄存器、一個(gè)八位DAC轉(zhuǎn)換器、邏輯控制電路以及輸出電路的輔助元件RFB等組成。D/A轉(zhuǎn)換器采用倒T型R—2R電阻網(wǎng)絡(luò)。由于DAC0832有兩個(gè)可以分別控制的數(shù)據(jù)寄存器,所以在使用時(shí)有較大的靈活性,可以接成雙緩沖、單緩沖或直接輸入等工作方式。DAC0832中無(wú)運(yùn)算放大器,且是電流輸出,使用時(shí)需外接運(yùn)算放大器。

3.DAC0832的引腳功能

DAC0832的引腳排列圖如圖7.11所示。各引腳的功能如下:ILE:輸入鎖存允許信號(hào),輸入高電平有效。:片選信號(hào),輸入低電平有效。它與ILE結(jié)合起來(lái)可以控制是否起作用。:寫信號(hào)1,輸入低電平有效。在和ILE為有效電平時(shí),用它將數(shù)據(jù)輸入并鎖存于輸入寄存器中。:寫信號(hào)2,輸入低電平有效。在為有效電平時(shí),用它將輸入寄存器中的數(shù)據(jù)傳送到八位DAC寄存器中。:傳輸控制信號(hào),輸入低電平有效。用它來(lái)控制DAC0832是否起作用。在控制多個(gè)DAC0832同時(shí)輸出時(shí)特別有用。

DI7DI0:八位數(shù)字量輸入端。VREF:基準(zhǔn)(參考)電壓輸入端。一般此端外接一個(gè)精確、穩(wěn)定的電壓基準(zhǔn)源。VREF可在-10V~+10V范圍內(nèi)選擇。RFB:反饋電阻。反饋電阻被制作在芯片內(nèi),用作外接運(yùn)算放大器的反饋電阻,它與內(nèi)部的R—2R電阻相匹配。IOUT1:模擬電流輸出1,接運(yùn)算放大器反相輸入端。其大小與輸入的數(shù)字量DI7~DI0成正比。IOUT2:模擬電流輸出2,接地。其大小與輸入的數(shù)碼取反后的數(shù)字量DI7~DI0成正比,IOUT1+IOUT2=常數(shù)。VCC:電源輸入端(一般為+5V~+15V)。DGND:數(shù)字地。AGND:模擬地。4.DAC0832的工作方式DAC0832內(nèi)部有兩個(gè)寄存器,所以它可以有雙緩沖型、單緩沖型和直通型三種工作方式。如果工作在直通方式,則沒(méi)有鎖存功能;如果工作在緩沖方式,則有一級(jí)或二級(jí)鎖存能力。雙緩沖方式:DAC0832內(nèi)部有兩個(gè)八位寄存器,可以進(jìn)行雙緩沖操作,即在對(duì)某數(shù)轉(zhuǎn)換的同時(shí),又可以進(jìn)行下一數(shù)據(jù)的采集,故轉(zhuǎn)換速度較高。這一特點(diǎn)特別適用于要求多片DAC0832的多個(gè)模擬量同時(shí)輸出的場(chǎng)合。在各片的ILE置為高電平和為低電平的控制下,有關(guān)數(shù)據(jù)分別被輸入一個(gè)相應(yīng)的DAC0832的八位輸入寄存器。當(dāng)需要進(jìn)行同時(shí)模擬輸出時(shí),在和均為低電平的作用下,把各輸入寄存器中數(shù)據(jù)同時(shí)傳送給各自的DAC寄存器。各個(gè)D/A轉(zhuǎn)換器同時(shí)轉(zhuǎn)換,同時(shí)給出模擬輸出。單緩沖方式:在不要求多片D/A同時(shí)輸出時(shí),可以采用單緩沖方式,使兩個(gè)寄存器之一始終處于直通狀態(tài),這時(shí)只需一次操作,因而可以提高D/A的數(shù)據(jù)吞吐量。直通方式:如果兩級(jí)寄存器都處于常通狀態(tài),這時(shí)D/A轉(zhuǎn)換器的輸出將跟隨數(shù)字輸入隨時(shí)變化,這就是直通方式。這種情況是將DAC0832直接應(yīng)用于連續(xù)反饋控制系統(tǒng)中,作數(shù)字增量控制器使用。5.DAC0832與微機(jī)的連接圖7.12所示為DAC0832與80X86計(jì)算機(jī)系統(tǒng)連接的典型電路,它屬于單緩沖方式。圖中的電位器用于滿量程調(diào)整。DAC0832在輸入數(shù)字量為單極性數(shù)字時(shí),輸出電路可接成單極性工作方式;在輸入數(shù)字量為雙極性數(shù)字時(shí),輸出電路可接成雙極性工作方式。所謂單極性輸出是指微處理機(jī)輸出到D/A轉(zhuǎn)換器的代碼為00H~FFH,經(jīng)D/A轉(zhuǎn)換器輸出的模擬電壓要么全為負(fù)值,要么全為正值。輸出極性總與基準(zhǔn)電壓的極性相反。所謂雙極性輸出是指微處理機(jī)輸出到DAC的數(shù)字量有正負(fù)之分,經(jīng)D/A轉(zhuǎn)換器輸出的模擬電壓也有正負(fù)極性之分。如控制系統(tǒng)中對(duì)電動(dòng)機(jī)的控制,正轉(zhuǎn)和反轉(zhuǎn)對(duì)應(yīng)正電壓和負(fù)電壓。7.2A/D轉(zhuǎn)換器A/D轉(zhuǎn)換器的功能是將輸入的模擬電壓量uI轉(zhuǎn)換成相應(yīng)的數(shù)字量輸出D,D為n位二進(jìn)制代碼dn.1dn.2…d1d0。A/D轉(zhuǎn)換器的種類很多,按工作原理可分為直接型和間接型兩大類。直接型不需要經(jīng)過(guò)中間變量就能把輸入的模擬電壓信號(hào)直接轉(zhuǎn)換為輸出的數(shù)字代碼,常用的電路有并聯(lián)比較型和反饋比較型。而間接型A/D轉(zhuǎn)換器,首先是將輸入的模擬電壓信號(hào)轉(zhuǎn)換成一個(gè)中間變量(時(shí)間或頻率),然后再將中間變量轉(zhuǎn)換成數(shù)字量。其分類可大致歸納如下:

7.2.1A/D轉(zhuǎn)換的一般步驟ADC的輸入電壓信號(hào)uI在時(shí)間上是連續(xù)量,而輸出的數(shù)字量D是離散的,所以進(jìn)行轉(zhuǎn)換時(shí)必須按一定的頻率對(duì)輸入的信號(hào)uI進(jìn)行采樣,得到采樣信號(hào)uS,并在兩次采樣之間使uS保持不變,從而保證將采樣值轉(zhuǎn)化成穩(wěn)定的數(shù)字量。因此,A/D轉(zhuǎn)換過(guò)程是通過(guò)采樣、保持、量化、編碼四個(gè)步驟完成的。通常采樣和保持用同一個(gè)電路實(shí)現(xiàn),量化和編碼也是在轉(zhuǎn)換過(guò)程同時(shí)實(shí)現(xiàn)的。1.采樣與保持采樣是將在時(shí)間上連續(xù)變化的模擬量轉(zhuǎn)換成時(shí)間上離散的模擬量,如圖7.13所示。可以看到,為了用采樣信號(hào)uS準(zhǔn)確地表示輸入信號(hào)uI,必須有足夠高的采樣頻率FS,采樣頻率FS越高就越能準(zhǔn)確地反映uI的變化。那么如何來(lái)確定采樣頻率呢?對(duì)任何模擬信號(hào)進(jìn)行諧波分析時(shí),均可以表示為若干正弦信號(hào)之和,若諧波中最高頻率為Fi

mAx,則根據(jù)采樣定理,采樣頻率應(yīng)滿足:FS≥2FimAx

此時(shí),采樣信號(hào)uS就能準(zhǔn)確地反映輸入信號(hào)uI。由于采樣時(shí)間極短,采樣輸出uS為一串?dāng)嗬m(xù)的窄脈沖。而要把一個(gè)采樣信號(hào)數(shù)字化需要一定時(shí)間,因此在兩次采樣之間應(yīng)將采樣的模擬信號(hào)存儲(chǔ)起來(lái)以便進(jìn)行數(shù)字化,這一過(guò)程稱之為保持。2.量化與編碼數(shù)字信號(hào)不僅在時(shí)間上是離散的,而且在數(shù)值上的變化也是不連續(xù)的。也就是說(shuō),任何一個(gè)數(shù)字量的大小都是以某個(gè)最小數(shù)量單位的整數(shù)倍來(lái)表示的。因此,在用數(shù)字量表示采樣電壓時(shí),也必須把它化成這個(gè)最小數(shù)量單位的整數(shù)倍,所規(guī)定的最小數(shù)量單位稱為量化單位,用△表示。將量化的結(jié)果用二進(jìn)制代碼表示稱為編碼。這個(gè)二進(jìn)制代碼就是A/D轉(zhuǎn)換的輸出信號(hào)。輸入模擬電壓通過(guò)采樣/保持后轉(zhuǎn)換成階梯波,其階梯幅值仍然是連續(xù)可變的,所以它就不一定能被量化單位△整除,因而不可避免地會(huì)引起量化誤差。對(duì)于一定的輸入電壓范圍,輸出數(shù)字量的位數(shù)越高,△就越小,因此量化誤差也越小。而對(duì)于一定的輸入電壓范圍、一定位數(shù)的數(shù)字量輸出,不同的量化方法,量化誤差的大小也不同。量化的方法有兩種,下面將分別說(shuō)明。

設(shè)輸入電壓uI的范圍為0~UM,輸出為n位的二進(jìn)制代碼。現(xiàn)取UM=1V,n=3。第一種量化方法:取△=UM/2n=(1/23)V=(1/8)V,規(guī)定0△表示0V<uI<(1/8)V,對(duì)應(yīng)的輸出二進(jìn)制代碼為000;1△表示(1/8)V<uI<(2/8)V,對(duì)應(yīng)的輸出二進(jìn)制代碼為001;…;7△表示(7/8)V<uI<1V,對(duì)應(yīng)的輸出二進(jìn)制代碼為111,如圖7.11(a)所示。顯然,這種量化方法的最大量化誤差為△。第二種量化方法:取△=2UM/(2n+1–1)=(2/15)V,并規(guī)定0△表示0V<uI<(1/15)V,對(duì)應(yīng)的輸出二進(jìn)制代碼為000;1△表示(1/15)V<uI<(3/15)V,對(duì)應(yīng)的輸出二進(jìn)制代碼為001;…;7△表示(13/15)V<uI<1V,對(duì)應(yīng)的輸出二進(jìn)制代碼為111,如圖7.11(b)所示。顯然,這種量化方法的最大量化誤差為△/2。實(shí)際電路中多采用這種量化方法。圖7.14

兩種不同量化誤差7.2.2采樣/保持電路采樣/保持電路實(shí)現(xiàn)A/D轉(zhuǎn)換的采樣和保持兩個(gè)步驟。其基本形式如圖7.15(a)所示。它由N溝道MOS管T作為采樣開(kāi)關(guān)、存儲(chǔ)電容C和運(yùn)放等組成。當(dāng)采樣控制信號(hào)uS為高電平時(shí),T導(dǎo)通,輸入信號(hào)uI經(jīng)電阻RI向電容C充電。取RI=RF且忽略運(yùn)放的凈輸入電流,則充電結(jié)束后uO=uC=.uI。采樣控制信號(hào)uS躍變?yōu)榈碗娖胶螅琈OS管T截止,由于電容C上的電壓uC保持基本不變,即采樣的結(jié)果被保持下來(lái)直到下一個(gè)采樣控制信號(hào)的到來(lái)??梢钥闯鲋挥须娙軨的漏電流越小,運(yùn)放的輸入阻抗越大,uO保持的時(shí)間才越長(zhǎng)。顯然,采樣過(guò)程是一個(gè)充電過(guò)程,且RI越小,充電時(shí)間越短,采樣頻率可以越高;在充電過(guò)程中,電路的輸入電阻為RI,為使電路從信號(hào)源索取的電流小些,則要求輸入電阻大;因此采樣速度與輸入阻抗產(chǎn)生了矛盾。下面介紹在圖7.15(a)所示電路基礎(chǔ)上改進(jìn)而得的電路,如圖7.15(b所示。A1和A2是兩個(gè)運(yùn)放,采樣控制信號(hào)uL通過(guò)驅(qū)動(dòng)電路L控制開(kāi)關(guān)S。uL=1時(shí),開(kāi)關(guān)S閉合。A1和A2工作在單位增益的電壓跟隨狀態(tài),則uI=uO/=uC=uO;uL=0時(shí),開(kāi)關(guān)S斷開(kāi)。由于電容C沒(méi)有放電回路,uC保持,uI不變,所以輸出uO也保持,uI不變。開(kāi)關(guān)S斷開(kāi),電路處于保持階段,如果uI變化,uO/可能變化非常大,甚至?xí)^(guò)開(kāi)關(guān)電路能夠承受的電壓,因此用二極管D1、D2構(gòu)成保護(hù)電路。當(dāng)uO/比保持電壓uO高(或低)一個(gè)二極管的壓降UD時(shí),D1(或D2)導(dǎo)通,從而使uO/=uO+UD(或uO/=uO-UD)。在開(kāi)關(guān)S閉合時(shí)uO/=uO,所以D1和D2不導(dǎo)通,保護(hù)電路不起作用。由于電路在采樣開(kāi)關(guān)與輸入信號(hào)之間加一級(jí)運(yùn)放A1,提高了輸入阻抗。同時(shí)由于運(yùn)放A1輸出阻抗小,使電容充、放電過(guò)程加快,從而提高了采樣速度。7.2.3并聯(lián)比較型A/D轉(zhuǎn)換器圖7.16為并聯(lián)比較型A/D轉(zhuǎn)換器電路結(jié)構(gòu)圖,它由電壓比較器、寄存器和代碼轉(zhuǎn)換電路三部分組成。輸入為0~VREF間的模擬電壓,輸出為三位二進(jìn)制數(shù)碼D2D1D0。這里略去了取樣.保持電路,假定輸入的模擬電壓uI已經(jīng)是采樣保持電路的輸出電壓了。電壓比較器中量化電平的劃分采用如圖7.14(b)所示的方式,用電阻鏈把參考電壓VREF分壓,得到從VREF到VREF之間七個(gè)比較電平,量化單位為Δ=VREF。然后,把這七個(gè)比較電平分別接到七個(gè)電壓比較器C1~C7的輸入端,作為比較基準(zhǔn)。同時(shí),將輸入的模擬電壓同時(shí)加到每個(gè)比較器的另一個(gè)輸入端上,與這七個(gè)比較基準(zhǔn)進(jìn)行比較。若uI<VREF,則所有比較器的輸出全是低電平,CP上升沿到來(lái)后,寄存器中所有的觸發(fā)器(FF1~FF7)都被置成0狀態(tài)。若VREF≤uI<VREF,則只有C1輸出為高電平,CP上升沿到達(dá)后FF1被置1,其余觸發(fā)器被置0。依此類推,便可列出uI為不同電壓時(shí)寄存器的狀態(tài),見(jiàn)表7.1。不過(guò)寄存器輸出的是一組七位的二值代碼,還不是所要求的二進(jìn)制數(shù),因此必須進(jìn)行代碼轉(zhuǎn)換。代碼轉(zhuǎn)換器是一個(gè)組合邏輯電路,根據(jù)表7.1可以寫出代碼轉(zhuǎn)換電路輸出與輸入間的邏輯函數(shù)式按照式(7.10)即可得到圖7.16中的代碼轉(zhuǎn)換電路。并聯(lián)比較型A/D轉(zhuǎn)換器的轉(zhuǎn)換精度主要取決于量化電平的劃分,分得越細(xì)(亦即Δ取得越小),精度越高。不過(guò)分得越細(xì),使用的比較器和觸發(fā)器數(shù)目越大,電路更加復(fù)雜。此外,轉(zhuǎn)換精度還受參考電壓的穩(wěn)定度和分壓電阻相對(duì)精度以及電壓比較器靈敏度的影響。并聯(lián)比較型A/D轉(zhuǎn)換器的最大優(yōu)點(diǎn)是轉(zhuǎn)換速度快。如果從CP信號(hào)的上升沿算起,圖7.16電路完成一次轉(zhuǎn)換所需要的時(shí)間只包括一級(jí)觸發(fā)器的翻轉(zhuǎn)時(shí)間和三級(jí)門電路的傳輸延遲時(shí)間。目前,輸出為八位的并聯(lián)比較型A/D轉(zhuǎn)換器轉(zhuǎn)換時(shí)間可以達(dá)到50ns以內(nèi),這是其他類型A/D轉(zhuǎn)換器都無(wú)法做到的。另外,并聯(lián)比較型A/D轉(zhuǎn)換器可以不用附加采樣/保持電路,因?yàn)楸容^器和寄存器這兩部分也兼有采樣和保持功能。并聯(lián)比較型A/D轉(zhuǎn)換器的缺點(diǎn)是需要用很多的電壓比較器和觸發(fā)器。從圖7.16所示電路不難得知,輸出為n位二進(jìn)制代碼的轉(zhuǎn)換器中應(yīng)當(dāng)有(2n-1)個(gè)電壓比較器和(2n-1)個(gè)觸發(fā)器。電路的規(guī)模隨著輸出代碼位數(shù)的增加而急劇膨脹。如果輸出的為10位二進(jìn)制代碼,則需用(210-1)=1023個(gè)比較器和1023個(gè)觸發(fā)器以及一個(gè)規(guī)模相當(dāng)龐大的代碼轉(zhuǎn)換電路。7.2.4逐次漸近型A/D轉(zhuǎn)換器逐次漸近型A/D轉(zhuǎn)換器又稱逐次逼近型A/D轉(zhuǎn)換器,其轉(zhuǎn)換過(guò)程類似用天平稱未知物體重量的過(guò)程。假設(shè)砝碼的重量滿足二進(jìn)制關(guān)系,即一個(gè)比一個(gè)重量小一半,稱重時(shí),將各種重量的砝碼從大到小逐一放在天平上加以試探,經(jīng)天平比較加以取舍,一直到天平基本平衡為止。這樣就以一系列二進(jìn)制砝碼的重量之和表示被稱物體的重量。逐次漸近型A/D轉(zhuǎn)換器的原理框圖如圖7.17所示,主要包括寄存器、D/A轉(zhuǎn)換器、電壓比較器、順序脈沖發(fā)生器(脈沖源)及相應(yīng)的控制電路。轉(zhuǎn)換開(kāi)始前先將寄存器清零,所以加給D/A轉(zhuǎn)換器的數(shù)字量也是全0,轉(zhuǎn)換控制信號(hào)uL

變?yōu)楦唠娖綍r(shí)開(kāi)始轉(zhuǎn)換,在時(shí)鐘脈沖作用下,首先將寄存器最高位置成1,使寄存器的輸出為100…00,這個(gè)數(shù)字量被D/A轉(zhuǎn)換器轉(zhuǎn)換成相應(yīng)的模擬電壓uO

,送到比較器與輸入電壓uI進(jìn)行比較,如果uO>

uI,說(shuō)明數(shù)字過(guò)大,應(yīng)將這個(gè)1清除;如果uO≤uI

,說(shuō)明數(shù)字還不夠大,這個(gè)應(yīng)該保留。然后再將次高位置1,并按上述方法確定這位的1是否保留。這樣逐位比較下去,直到最低位為止。這時(shí)寄存器里的數(shù)碼就是所求的輸出數(shù)字量。根據(jù)上述原理構(gòu)成的3位逐次漸近型A/D轉(zhuǎn)換器的邏輯電路如圖7.18所示。圖中三個(gè)同步RS觸發(fā)器FA、FB、FC作為寄存器,F(xiàn)F1~FF5構(gòu)成的環(huán)形計(jì)數(shù)器作為順序脈沖發(fā)生器,控制電路由門電路G1~G9組成。設(shè)參考電壓VREF=5V,待轉(zhuǎn)換的模擬電壓uI=3.2V。工作前先將寄存器FA、FB、FC清零,同時(shí)使環(huán)形計(jì)數(shù)器置成Q1Q2Q3Q4Q5=10000狀態(tài)。轉(zhuǎn)換控制信號(hào)uL

變成高電平以后,轉(zhuǎn)換開(kāi)始。(1)第一個(gè)CP脈沖的上升沿到來(lái)時(shí),因Q1=1,所以CP=1期間FA被置1,F(xiàn)B、FC保持0狀態(tài),這時(shí)寄存器的狀態(tài)QAQBQC=100加到三位D/A轉(zhuǎn)換器的輸入端,并在D/A轉(zhuǎn)換器的輸出端得到相應(yīng)的模擬電壓uO=5V×22/23=2.5V,因?yàn)閡O<uI

,比較器的輸出uB=0為低電平。同時(shí)環(huán)形計(jì)數(shù)器的狀態(tài)為Q1Q2Q3Q4Q5=01000。((2)第二個(gè)CP脈沖的上升沿到來(lái)時(shí),因Q2=1,所以FB被置1,由于uB=0為低電平,封鎖了與門G1,Q2不能通過(guò)門G1使FA置0,故QA仍為1,因此QAQBQC=110,經(jīng)D/A轉(zhuǎn)換器轉(zhuǎn)換后得到相應(yīng)的模擬電壓uO=5V×(2.2+2.1)/23=3.75V,因?yàn)閡O>uI

比較器的輸出uB=1為高電平。同時(shí)環(huán)形計(jì)數(shù)器的狀態(tài)為Q1Q2Q3Q4Q5=00100。(3)第三個(gè)CP脈沖到來(lái)時(shí),因Q3=1,所以FC被置1,由于uB=1,與門G2被打開(kāi),Q3通過(guò)門G2使FB置0,此時(shí)由于Q1=Q2=0,故FA保持1狀態(tài)。因此QAQBQC=101,經(jīng)D/A轉(zhuǎn)換器轉(zhuǎn)換后得到相應(yīng)的模擬電壓uO=5V×(2.2+2.0)/23=3.125V,因?yàn)閡O<uI

,比較器的輸出uB

為低電壓。同時(shí)環(huán)形計(jì)數(shù)器的狀態(tài)為Q1Q2Q3Q4Q5=00010。(4)第四個(gè)CP脈沖到來(lái)后,由于比較器的輸出電壓uB=0,封鎖了與門G1~G3,且Q1~Q3=0,故FAFBFC保持原態(tài),即QAQBQC=101。同時(shí)環(huán)形計(jì)數(shù)器的狀態(tài)為Q1Q2Q3Q4Q5=00001。Q5=1,打開(kāi)三態(tài)門,輸出轉(zhuǎn)換結(jié)果D2D1D0=101。(5)第五個(gè)CP脈沖到來(lái)后,環(huán)形計(jì)數(shù)器的狀態(tài)為Q1Q2Q3Q4Q5=10000,返回初始狀態(tài)。同時(shí),由于Q5=0,門G6,G7,G8被封鎖,轉(zhuǎn)換輸出信號(hào)隨之消失。常用的逐次漸近型A/D轉(zhuǎn)換器有8、10、12和14位等電路。其優(yōu)點(diǎn)是精度高、轉(zhuǎn)換速度快,由于它的轉(zhuǎn)換時(shí)間固定,簡(jiǎn)化了與計(jì)算機(jī)的同步,所以常常用作微機(jī)接口。

7.2.5雙積分型A/D轉(zhuǎn)換器雙積分型A/D轉(zhuǎn)換器屬于電壓.時(shí)間變換型轉(zhuǎn)換器,它是經(jīng)過(guò)中間變量間接實(shí)現(xiàn)A/D轉(zhuǎn)換的。它通過(guò)兩次積分,采樣階段在固定時(shí)間T1內(nèi)對(duì)uI

積分,比較階段對(duì)基準(zhǔn)電壓-VREF進(jìn)行反向積分,其工作原理框圖如圖7.19所示。它由基準(zhǔn)電壓-VREF、積分器A1、過(guò)零比較器A2、計(jì)數(shù)器、控制電路和控制開(kāi)關(guān)組成。其中,開(kāi)關(guān)S1由控制邏輯電路的狀態(tài)控制,以便將被測(cè)模擬電壓uI

和基準(zhǔn)電壓-VREF分別接入積分器A進(jìn)行積分。過(guò)零比較器用來(lái)監(jiān)測(cè)積分器輸出電壓的過(guò)零時(shí)刻。當(dāng)積分器輸出uO≤0時(shí),比較器的輸出uB

為高電平。時(shí)鐘脈沖送入計(jì)數(shù)器計(jì)數(shù);當(dāng)uO>0時(shí),比較器的輸出uB

為低電平,計(jì)數(shù)器停止計(jì)數(shù)。雙積分型A/D轉(zhuǎn)換器在一次轉(zhuǎn)換過(guò)程中要進(jìn)行兩次積分。第一次積分為采樣階段??刂七壿嬰娐肥归_(kāi)關(guān)S1接至模擬電壓uI

,在固定時(shí)間T1內(nèi)進(jìn)行積分。積分結(jié)束時(shí)積分器的輸出電壓uO

與模擬電壓uI的大小成正比,如圖7.20所示。當(dāng)采樣結(jié)束時(shí),通過(guò)控制邏輯電路使開(kāi)關(guān)S1改接到基準(zhǔn)電壓-VREF上。第二次積分為比較階段。積分器對(duì)基準(zhǔn)電壓-VREF進(jìn)行反向積分。積分器的輸出電壓開(kāi)始回升,經(jīng)時(shí)間T2后回到0,比較器輸出為0,停止計(jì)數(shù),比較階段的時(shí)間間隔T2與采樣結(jié)束時(shí)積分器的輸出電壓uO

成正比,如圖7.20所示,因此T2與輸入模擬電壓uI

成正比。圖7.21為雙積分型A/D轉(zhuǎn)換器的邏輯電路。轉(zhuǎn)換開(kāi)始前,轉(zhuǎn)換器控制信號(hào)uL=0為低電平,將n位二進(jìn)制計(jì)數(shù)器和附加觸發(fā)器FFA均置0。同時(shí)S0閉合,積分電容C充分放電。當(dāng)uL=1為高電平以后,S0斷開(kāi),S1接到輸入信號(hào)uI

的一側(cè),轉(zhuǎn)換開(kāi)始。第一次積分:積分器對(duì)uI在固定時(shí)間T1內(nèi)進(jìn)行積分。即

式中,uI為T1時(shí)間內(nèi)輸入模擬電壓的平均值。因?yàn)閡O1(t)≤0,比較器輸出uB=1為高電平,將門G打開(kāi),計(jì)數(shù)器以周期為TC的時(shí)鐘脈沖從0開(kāi)始計(jì)數(shù),當(dāng)計(jì)到其最大容量N1=2n

時(shí),計(jì)數(shù)器回到0狀態(tài),同時(shí)附加觸發(fā)器FFA的Q=1,使開(kāi)關(guān)S1轉(zhuǎn)接到基準(zhǔn)電源-VREF上,第一次積分結(jié)束。此時(shí)T1=N1TC=2n

TC因?yàn)?n

TC不變,即T1固定,所以積分器的輸出電壓uO1(t)與輸入模擬電壓的平均值成正比。即

第二次積分:uO1(t)轉(zhuǎn)換成與之成正比的時(shí)間間隔T2。由于開(kāi)關(guān)S1接至-VREF上,積分器開(kāi)始反向積分,計(jì)數(shù)器又開(kāi)始從0計(jì)數(shù),經(jīng)過(guò)時(shí)間T2后積分電壓升到0,比較器輸出uB

為低電平,將門G封鎖,停止計(jì)數(shù),轉(zhuǎn)換結(jié)束。由于在采樣結(jié)束時(shí),電容器已充有電壓uO1(t),所以此時(shí)積分器輸出電壓為由(7.15)式可以看出,第二次積分的時(shí)間間隔T2與輸入電壓在T1時(shí)間間隔內(nèi)的平均值uI

成正比。在T2時(shí)間間隔內(nèi)計(jì)數(shù)器所計(jì)的數(shù)N2為

N2與輸入電壓uI在T1時(shí)間間隔內(nèi)的平均值成正比。只要uI<VREF,轉(zhuǎn)換器就可以將模擬電壓轉(zhuǎn)換為數(shù)字量。當(dāng)VREF=2nV時(shí),N2=

uI,計(jì)數(shù)器所計(jì)的數(shù)在數(shù)值上就等于被測(cè)電壓。雙積分型A/D轉(zhuǎn)換器與逐次漸近型A/D轉(zhuǎn)換器相比,最大的優(yōu)點(diǎn)是它具有較強(qiáng)的抗干擾能力。由于雙積分型A/D轉(zhuǎn)換器采用了測(cè)量輸入電壓在采樣時(shí)間T1內(nèi)的平均值的原理,因此對(duì)于周期等于T1或T1/n(n=1,2,3,…)的對(duì)稱干擾(所謂對(duì)稱干擾是指整個(gè)周期內(nèi)平均值為零的干擾)從理論上講具有無(wú)窮大的抑制力。在工業(yè)系統(tǒng)中,當(dāng)選擇T1為20ms的整數(shù)倍時(shí),對(duì)50Hz工頻干擾信號(hào)具有很強(qiáng)的抑制能力。另外,因?yàn)閮纱畏e分采用同一積分器完成,所以轉(zhuǎn)換結(jié)果及精度與積分器的有關(guān)參量R、C等無(wú)關(guān),同時(shí)電路比較簡(jiǎn)單。其缺點(diǎn)是工作速度較低,一般為1ms左右。盡管如此,在要求速度不高的場(chǎng)合,如數(shù)字式儀表等,雙積分型A/D轉(zhuǎn)換器的使用仍然十分廣泛。集成雙積分型ADC品種有很多,大致分成二進(jìn)制輸出和BCD輸出兩大類,圖7.22是BCD碼雙積分型AD轉(zhuǎn)換器的框圖,它是一種位BCD碼AD轉(zhuǎn)換器。這一芯片輸出數(shù)碼的最高位(千位)僅為0或1,其余3位均由0~9組成,故稱為位。位的3表示完整的三個(gè)數(shù)位有十進(jìn)制數(shù)碼0~9,的分母2表示最高位只有0、1二個(gè)數(shù)碼,分子1表示最高位顯示的數(shù)碼最大為1,顯示的數(shù)值范圍為0000~1999。同類產(chǎn)品有ICL7107、7109、5G14433等。雙積分型AD轉(zhuǎn)換器一般外接配套的LED顯示器件或LCD顯示器件,可以將模擬電壓uI用數(shù)字量直接顯示出來(lái)。為了減少輸出線,譯碼顯示部分采用動(dòng)態(tài)掃描的方式,按著時(shí)間順序依次驅(qū)動(dòng)顯示器件,利用位選通信號(hào)及人眼的視覺(jué)暫留效應(yīng),就可將模擬量對(duì)應(yīng)的數(shù)字量顯示出來(lái)。這種雙積分型AD轉(zhuǎn)換器的優(yōu)點(diǎn),是利用較少的的元器件就可以實(shí)現(xiàn)較高的的精度(如位折合11位二進(jìn)制);一般輸入都是直流或緩變化的直流量,抗干擾性能很強(qiáng)。廣泛用于各種數(shù)字測(cè)量?jī)x表,工業(yè)控制柜面板表,汽車儀表等方面。7.2.6A/D轉(zhuǎn)換器的主要技術(shù)指標(biāo)

1.分辨率

分辨率用于描述A/D轉(zhuǎn)換器對(duì)輸入量微小變化的敏感程度。A/D轉(zhuǎn)換器的輸出是n位二進(jìn)制代碼,因此在輸入電壓范圍一定時(shí),位數(shù)越多,量化誤差也就越小,轉(zhuǎn)換精度也越高,分辨能力也越強(qiáng)。但分辨率僅僅表示A/D轉(zhuǎn)換器在理論上可以達(dá)到的精度。

2.轉(zhuǎn)換精度轉(zhuǎn)換精度常用轉(zhuǎn)換誤差來(lái)描述。它表示A/D轉(zhuǎn)換器實(shí)際輸出的數(shù)字量與理想輸出數(shù)字量的差別,通常用最低位的位數(shù)表示。轉(zhuǎn)換誤差是綜合性誤差,它是量化誤差、電源波動(dòng)以及轉(zhuǎn)換電路中各種元件所造成的誤差的總和。實(shí)際的轉(zhuǎn)換精度和分辨率是兩個(gè)不同的概念。分辨率很高,但由于電路的穩(wěn)定性不好等原因,可能使電路的轉(zhuǎn)換精度并不高。

3.轉(zhuǎn)換速度轉(zhuǎn)換速度用完成一次轉(zhuǎn)換時(shí)間來(lái)表示。它是從接到轉(zhuǎn)換控制信號(hào)起,到輸出端得到穩(wěn)定的數(shù)字輸出為止所需時(shí)間。如ADC0801,當(dāng)CP的頻率f=640kHz時(shí),轉(zhuǎn)換速度為100μs,轉(zhuǎn)換時(shí)間越短,說(shuō)明轉(zhuǎn)換速度越快??傮w來(lái)說(shuō),直接型A/D轉(zhuǎn)換器的轉(zhuǎn)換速度較間接型A/D轉(zhuǎn)換器快,但轉(zhuǎn)換精度和抗干擾能力都不及間接型A/D轉(zhuǎn)換器。此外,還有電源抑制量化誤差、偏移誤差、功率損耗等指標(biāo),這里不再一一介紹。7.2.7集成ADC集成ADC型號(hào)有很多,其中ADC0809集成A/D轉(zhuǎn)換器是用CMOS工藝制成的8通道逐次漸近型A/D轉(zhuǎn)換器。該器件具有與微處理器兼容的控制邏輯,可以直接與80X86系列、51系列等微處理器接口相連,應(yīng)用比較廣泛,這里以此為例簡(jiǎn)單介紹一下集成ADC。

1.ADC0809的主要技術(shù)指標(biāo)分辨率:8位精度:8位轉(zhuǎn)換時(shí)間:≤100μs輸入電壓范圍:5~15V溫度靈敏度:20ppmV/OC功耗:15mW

2.ADC0809的內(nèi)部結(jié)構(gòu)及工作原理ADC0809的內(nèi)部結(jié)構(gòu)如圖7.23所示,由兩部分組成:第一部分:8路模擬通道選擇開(kāi)關(guān)、地址鎖存器和譯碼器;第二部分:比較器、8位逐次漸近寄存器SAR、8位樹(shù)形D/A轉(zhuǎn)換電路、控制邏輯、三態(tài)輸出緩沖鎖存器。ADC0809工作原理如下:由ADDC、ADDB、ADDA及ALE選擇8個(gè)模擬量之一,并通過(guò)通道選擇開(kāi)關(guān)加至比較器一端,由START信號(hào)啟動(dòng)A/D轉(zhuǎn)換開(kāi)始且SAR清零,在CLOCK控制下,將SAR從高位到低位逐次置1,并將每次置位后的SAR送D/A轉(zhuǎn)換器轉(zhuǎn)換成與SAR中數(shù)字量成正比的模擬量,DAC的輸出加至比較器的另一端與輸入的模擬電壓進(jìn)行比較,若uI≥uO,保留SAR中該位的1,若uI<uO,該位清零,經(jīng)過(guò)8次比較(8個(gè)CLOCK)后,SAR中的8位數(shù)字量即是結(jié)果,在OE有效下,將SAR中8位二進(jìn)制數(shù)輸出至鎖存器,并通過(guò)D7~D0輸出,同時(shí)發(fā)出EOC轉(zhuǎn)換結(jié)束信號(hào)。

3.ADC0809的引腳功能ADC0809的引腳排列圖如圖7.24所示,各引腳功能如下:IN0~IN7:8路模擬電壓輸入,電壓范圍為0~5V??捎?路模擬開(kāi)關(guān)選擇其中任何一路送至8位A/D轉(zhuǎn)換電路進(jìn)行轉(zhuǎn)換。ALE:地址鎖存允許信號(hào):它是一個(gè)正脈沖信號(hào)。在脈沖的上升沿將三位地址A0、A1、A2存入鎖存器。CLOCK:時(shí)鐘脈沖輸入??刂艫/D轉(zhuǎn)換速度,頻率范圍是10kHz~1MHz。

START:A/D轉(zhuǎn)換啟動(dòng)信號(hào),為一正脈沖信號(hào)。在START的上升沿,將逐次比較寄存器清零,在START的下降沿,開(kāi)始轉(zhuǎn)換。EOC:A/D轉(zhuǎn)換結(jié)束信號(hào),高電平有效。OE:輸出允許信號(hào),OE有效時(shí)將打開(kāi)輸出緩沖器,使轉(zhuǎn)換結(jié)果出現(xiàn)在D7~D0端。VCC:芯片工作電壓,+5V。D7~D0:數(shù)字量輸出端。VREF(+)、VREF(.):基準(zhǔn)(參考)電壓的正、負(fù)極。GND:地端。A0、A1、A2:三個(gè)地址信號(hào)輸入端,構(gòu)成三位地址碼,用以選擇8個(gè)模擬量之一。地址輸入與選通的通道對(duì)應(yīng)關(guān)系見(jiàn)表7.2。

4.ADC0809與微機(jī)的連接在ADC0809典型應(yīng)用中,它與微處理器的連接如圖7.25所示。

集成ADC型號(hào)還有很多,例如AD7524,它是CMOS單片低功耗8位DA轉(zhuǎn)換器。采用倒T型電阻網(wǎng)絡(luò)結(jié)構(gòu)。型號(hào)中的“AD”表示美國(guó)的芯片生產(chǎn)公司模擬器件公司的代號(hào)。如圖7.26所示為其典型實(shí)用電路。圖中供電電壓VDD為+5V~+15V。D0~D7為輸入數(shù)據(jù),可輸入TTL/CMOS電平。為片選信號(hào),為寫入命令,VREF為參考電源,可正、可負(fù)。IOUT是模擬電流輸出,一正一負(fù)。A為運(yùn)算放大器,將電流輸出轉(zhuǎn)換為電壓輸出,輸出電壓的數(shù)值可通過(guò)接在16腳與輸出端的外接反饋電阻RFB進(jìn)行調(diào)節(jié)。16腳內(nèi)部已經(jīng)集成了一個(gè)電阻,所以外接的RFB可為零,即將16腳與輸出端短路。AD7524的功能表見(jiàn)7.3。

當(dāng)片選信號(hào)與寫入命令為低電平時(shí),AD7524處于寫入狀態(tài),可將D0~D7的數(shù)據(jù)寫入寄存器并轉(zhuǎn)換成模擬電壓輸出。當(dāng)RFB=0時(shí),輸出電壓與輸入數(shù)字量的關(guān)系如下:

集成ADC型號(hào)舉不勝舉,不同精度、不同位數(shù)的,如具有內(nèi)部采樣/保持電路的逐次漸進(jìn)型ADC:8位的AD7575,MAX166;10位的ADC2034;12位的AD574A、AD7896等,讀者可以根據(jù)具體需要合理選用。7.3DAC和ADC應(yīng)用舉例自然界中很多信號(hào)都是模擬量,傳感器可以拾取并使之轉(zhuǎn)化成電信號(hào),但是模擬量不便于傳輸、處理,在通信、遙測(cè)、遙控等領(lǐng)域,通常采用數(shù)字信號(hào)傳輸信息,在抗干擾能力和保密性等方面都遠(yuǎn)遠(yuǎn)強(qiáng)于模擬信號(hào),這就需要把模擬信號(hào)轉(zhuǎn)換成數(shù)字信號(hào),最后的輸出模擬信號(hào),實(shí)現(xiàn)控制功能。為了使數(shù)字測(cè)量設(shè)備能夠測(cè)量模擬量,并且對(duì)被測(cè)數(shù)據(jù)及時(shí)進(jìn)行分析和處理,然后存儲(chǔ)、顯示、打印其測(cè)試結(jié)果,這都離不開(kāi)A/D轉(zhuǎn)換器和D/A轉(zhuǎn)換器。例如用計(jì)算機(jī)對(duì)粉狀貨物(水泥、面粉等)和顆粒狀貨物進(jìn)行稱重包裝,如圖7.27所示的電腦包裝秤原理,稱重傳感器首先把待包裝物體的重量轉(zhuǎn)換成模擬的電壓或電流信號(hào)輸出,這個(gè)微弱的模擬電信號(hào)經(jīng)過(guò)放大以后進(jìn)行A/D轉(zhuǎn)換,變成數(shù)字量傳輸給微機(jī)處理,之后微機(jī)輸出的數(shù)字信號(hào)再經(jīng)過(guò)D/A轉(zhuǎn)換變成模擬信號(hào),進(jìn)行功率放大后驅(qū)動(dòng)料門開(kāi)關(guān)動(dòng)作,進(jìn)行自動(dòng)包裝。計(jì)算機(jī)多媒體系統(tǒng)只能處理數(shù)字信息,為了使它能夠處理聲音、圖像、視頻等多媒體信息,首先需要把模擬信號(hào)轉(zhuǎn)換成數(shù)字信號(hào),音頻、視頻的采集和輸出都離不開(kāi)A/D和D/A電路。數(shù)據(jù)采集和控制系統(tǒng)是對(duì)生產(chǎn)過(guò)程或科學(xué)實(shí)驗(yàn)中的各種物理量進(jìn)行實(shí)時(shí)采集、測(cè)試、處理,并可將相應(yīng)的量輸出以構(gòu)成反饋控制系統(tǒng)。數(shù)據(jù)采集和控制系統(tǒng)多種多樣,但其基本工作過(guò)程相似,匯集被測(cè)控對(duì)象的各種模擬量,通過(guò)A/D轉(zhuǎn)換器轉(zhuǎn)換為數(shù)字信號(hào),再通過(guò)計(jì)算機(jī)、數(shù)字信號(hào)處理芯片等器件對(duì)所采集的信號(hào)進(jìn)行加工處理后,再通過(guò)D/A轉(zhuǎn)換器轉(zhuǎn)換成相應(yīng)的模擬量,實(shí)現(xiàn)所需的控制。本任務(wù)主要介紹數(shù)據(jù)采集系統(tǒng)的組成,模/數(shù)和數(shù)/模轉(zhuǎn)換器等集成電路的使用,以及通過(guò)簡(jiǎn)要介紹一種溫度控制器,說(shuō)明電子電路小系統(tǒng)的設(shè)計(jì)過(guò)程。7.3.1數(shù)據(jù)采集系統(tǒng)的技術(shù)要求設(shè)計(jì)一個(gè)溫度控制器,來(lái)控制一個(gè)加熱器,當(dāng)環(huán)境溫度達(dá)到設(shè)定值時(shí),加熱器自動(dòng)斷電。電路應(yīng)包括:1.測(cè)溫和控制范圍:18℃~65℃;2.控溫精度:≤1℃;3.電路具有顯示溫度環(huán)節(jié)和超溫報(bào)警指示;4.采用單片機(jī)作為控制電路,采用繼電器作為執(zhí)行機(jī)構(gòu)。7.3.2系統(tǒng)方框圖本系統(tǒng)由集成溫度傳感器、放大電路、A/D轉(zhuǎn)換器、單片機(jī)、D/A轉(zhuǎn)換器、控制驅(qū)動(dòng)電路、加熱器、鎖存器、譯碼顯示電路、鍵盤接口電路、數(shù)據(jù)存儲(chǔ)器RAM和程序存儲(chǔ)器EPROM等部分組成。溫度控制器的方框圖如圖7.28所示。傳感器采用集成溫度傳感器AD590,AD590是按K氏度標(biāo)定的電流型溫度傳感器。溫度每變化1K,電流就變化1A。經(jīng)過(guò)放大電路的放大,在溫度達(dá)到最高溫度時(shí),放大電路的輸出可以達(dá)到AD轉(zhuǎn)換器所需要的最大模擬量數(shù)值。模擬信號(hào)送入AD轉(zhuǎn)換器,變換成數(shù)字信號(hào)后,將數(shù)字量送往單片機(jī)。單片機(jī)將傳輸過(guò)來(lái)的數(shù)字信號(hào)存入單片機(jī)中的存儲(chǔ)器,如果數(shù)據(jù)量大,可以轉(zhuǎn)存到外掛的RAM中。從數(shù)據(jù)傳感器測(cè)得的信號(hào),單片機(jī)處理后通過(guò)LED數(shù)碼管顯示實(shí)時(shí)溫度。通過(guò)鍵盤,用戶可設(shè)置溫度的上限值,當(dāng)溫度超過(guò)上限時(shí),單片機(jī)通過(guò)可控硅控制加熱器停止工作,并報(bào)警顯示溫度值,直到溫度下降到允許范圍內(nèi)。單片機(jī)的運(yùn)行程序應(yīng)事先存放在EPROM之中。在上面的方框圖中,主要包括的元器件如下:?jiǎn)纹瑱C(jī)最小系統(tǒng)1套溫度傳感器AD5901個(gè)運(yùn)算放大器LM3241片共陰極LED譯碼管4個(gè)LED驅(qū)動(dòng)器MC144954片八輸入與非門74LS301片非門74LS041片固態(tài)繼電器1個(gè)NPN三極管90131個(gè)電阻及導(dǎo)線若干7.3.3電路設(shè)計(jì)本設(shè)計(jì)采用80C52單片機(jī)對(duì)加熱器實(shí)行自動(dòng)控制,系統(tǒng)主要包括溫度測(cè)量、鍵盤顯示、輸出控制三部分,現(xiàn)分別介紹如下。1.溫度測(cè)量電路溫度測(cè)量是整個(gè)控制系統(tǒng)的關(guān)鍵,控制的可靠性取決于溫度測(cè)量的精度。AD590是一種輸出電流信號(hào)的高精度溫度傳感器,它測(cè)量范圍從.50℃到+100℃,為了便于對(duì)信號(hào)進(jìn)行放大,先利用一個(gè)電阻將所測(cè)的電流信號(hào)轉(zhuǎn)化為電壓信號(hào)。AD590在制造時(shí)按照K氏度標(biāo)定,即在0℃時(shí)的電流為

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論