fpga實驗報告同濟電子與信息工程學院中心_第1頁
fpga實驗報告同濟電子與信息工程學院中心_第2頁
fpga實驗報告同濟電子與信息工程學院中心_第3頁
fpga實驗報告同濟電子與信息工程學院中心_第4頁
fpga實驗報告同濟電子與信息工程學院中心_第5頁
已閱讀5頁,還剩6頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權,請進行舉報或認領

文檔簡介

:_::_:_ 實驗地點電信樓121實驗名稱 實驗日期:20111114FPGA(Field-ProgrbleGateArrayPAL、GAL、CPLD等可編程器件的基礎上進一步發(fā)展的產(chǎn)物。它是作為集成電路(ASIC)領域中有限的缺點。FPGA的各部分名稱如圖所示:HDLRAM等基本邏輯單元組成的生成二進制編程文件到中,也就是所謂的硬件編程和,是FPGA的最后LEDIOLED0LED燈,LED1指左半部分的LED燈。SW一欄為鍵盤按鍵與IO口的對應關系。 ISEXILINXFPGAFPGA開發(fā)的全部流程,包括設計輸入、仿真、綜合、布局布線、生成BIT文件、配置以及調(diào)試等,功能非常強大。我們使用的XilinxISE10.1版本可以實現(xiàn)設計輸入(DesignEntry)片Spartan3,然后在下面選擇型號—IC3S50(可以在開發(fā)板上讀到,接下來選擇封裝形式TQ144,表示開發(fā)板有144個管腳。隨后,進行操作設置。首先選擇頂層文件類型——HDL,然后選擇綜合工具——XST(erilo/VHDL,接下來選擇仿真類工具——,3.33.4.IO144144IOIO口,白色的小圓圈是可以操作的IO口。此時,我們需要用到前面的管腳約束表。按照的操作對輸入輸出口進3.5 完成上述6個步驟后,系統(tǒng)會自動生成一個.bit文件,即為我們需要到開發(fā)板上的文件。開發(fā)板上有兩塊,位于中間的為FPGA,位于角落的為PROM只讀,開發(fā)板上電時其中是沒有程序的。因此我們時兩種情況,第一是快速,直接到FPGA。第二種是首先將.bit文件轉換為.mcs文件,再到器。右鍵,單擊程序,出現(xiàn)“programsucceeded”說明程序。PROM,MCS格式,隨后選擇只讀 第一個框中點擊past,當 變成綠色時,即可向器中程序。moduleinput[0:7]datain;inputclk;output[0:7]dataout;reg[0:7]always@(posedgeclk)LED(作為輸出課程的學習,是一次很有意義的經(jīng)歷。(LEDmoduleinput[0:7]datain;inputclk;output[0:7]dataout;reg[0:7]always@(posedgeclk)在將程序燒錄進FPGA后,將四塊組合依照管腳順序結合起來,接入電源,則上顯示出0——9之間的10個數(shù)字。FPGAFPGA更對的實際操作有了一定的認識。(BUSmoduleBus(clk,rd,dinout_ctrl,dinout_kyb,dinout_led); inputclk; //clk為輸入input inout7:0 //dinout_ctrl為inout8inout7:0 //dinout_kyb為inout8inout7:0 //定義dinout_led為inout8reg7:0] /inout_ctrl_reg為reg8reg7:0] //定義inout_led_reg為reg8assigndinout_ctrl 制的8位數(shù))=;/always@(posedgeclk) //若!rd為真(寫),則inout_ctrl_reg =由微機原理的知識以及程序分析可知,bus的作用為連接各個模塊(conrol,led,keyboard(moduleinputclk; inout[0:7]datainout;//輸入端口定義:8位數(shù)據(jù)outputrd; reg[0:7]reg[32:0]cnt;assigndatainout=(rd)?inout_reg:8'bz;//rd為真(寫),則dinout_ctrl=且為2進制的8位數(shù))always@(posedgeclkcnt<=cnt+1;// 輸出顯示在LED燈上8'b0000_0001:begindata=8'b1111_1100;end8'b0000_0010:begindata=8'b0110_0000;end8'b0000_0100:begindata=8'b1101_1010;end8'b0000_1000:begindata=8'b1111_0010;end8'b0001_0000:begindata=8'b0110_0110;end8'b0010_0000:begindata=8'b1011_0110;end8'b0100_0000:begindata=8'b1011_1110;end8'b1000_0000:begindata=8'b1110_0000;endinout_reg 分別將不同功能的程序燒錄進指定的FPGA,并將四塊相應管教組合連接后接FPGA,形成完整的的時間和精力研究相關的技術,對于微機原理與接術的理論學習也有十分大的幫助。(BUSBUSCONTROLKEY-BOARDLED時sw2的輸入值就賦值給rd,從而修改讀寫。moduleinout[0:7]datainout;inputwiresw2;reg[0:7]reg[32:0]cnt;reg[7:0]inout_reg;8'b0000_0001:begindata=8'b1111_1100;8'b0000_0010:begindata=8'b0110_0000;end8'b0000_0100:begindata=8'b1101_1010;end8'b0000_1000:begindata=8'b1111_0010;end8'b0001_0000:begindata=8'b0110_0110;end8'b0010

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論