智能函數(shù)發(fā)生器EDA設(shè)計(jì)_第1頁(yè)
智能函數(shù)發(fā)生器EDA設(shè)計(jì)_第2頁(yè)
智能函數(shù)發(fā)生器EDA設(shè)計(jì)_第3頁(yè)
智能函數(shù)發(fā)生器EDA設(shè)計(jì)_第4頁(yè)
智能函數(shù)發(fā)生器EDA設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩24頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

PAGEPAGE1基于C8051F單片機(jī)直流電動(dòng)機(jī)反饋控制系統(tǒng)的設(shè)計(jì)與研究基于單片機(jī)的嵌入式Web服務(wù)器的研究MOTOROLA單片機(jī)MC68HC(8)05PV8/A內(nèi)嵌EEPROM的工藝和制程方法及對(duì)良率的影響研究基于模糊控制的電阻釬焊單片機(jī)溫度控制系統(tǒng)的研制基于MCS-51系列單片機(jī)的通用控制模塊的研究基于單片機(jī)實(shí)現(xiàn)的供暖系統(tǒng)最佳啟停自校正(STR)調(diào)節(jié)器單片機(jī)控制的二級(jí)倒立擺系統(tǒng)的研究基于增強(qiáng)型51系列單片機(jī)的TCP/IP協(xié)議棧的實(shí)現(xiàn)基于單片機(jī)的蓄電池自動(dòng)監(jiān)測(cè)系統(tǒng)基于32位嵌入式單片機(jī)系統(tǒng)的圖像采集與處理技術(shù)的研究基于單片機(jī)的作物營(yíng)養(yǎng)診斷專家系統(tǒng)的研究基于單片機(jī)的交流伺服電機(jī)運(yùn)動(dòng)控制系統(tǒng)研究與開發(fā)基于單片機(jī)的泵管內(nèi)壁硬度測(cè)試儀的研制基于單片機(jī)的自動(dòng)找平控制系統(tǒng)研究基于C8051F040單片機(jī)的嵌入式系統(tǒng)開發(fā)基于單片機(jī)的液壓動(dòng)力系統(tǒng)狀態(tài)監(jiān)測(cè)儀開發(fā)模糊Smith智能控制方法的研究及其單片機(jī)實(shí)現(xiàn)一種基于單片機(jī)的軸快流CO〈,2〉激光器的手持控制面板的研制基于雙單片機(jī)沖床數(shù)控系統(tǒng)的研究基于CYGNAL單片機(jī)的在線間歇式濁度儀的研制基于單片機(jī)的噴油泵試驗(yàn)臺(tái)控制器的研制基于單片機(jī)的軟起動(dòng)器的研究和設(shè)計(jì)基于單片機(jī)控制的高速快走絲電火花線切割機(jī)床短循環(huán)走絲方式研究基于單片機(jī)的機(jī)電產(chǎn)品控制系統(tǒng)開發(fā)基于PIC單片機(jī)的智能手機(jī)充電器基于單片機(jī)的實(shí)時(shí)內(nèi)核設(shè)計(jì)及其應(yīng)用研究基于單片機(jī)的遠(yuǎn)程抄表系統(tǒng)的設(shè)計(jì)與研究基于單片機(jī)的煙氣二氧化硫濃度檢測(cè)儀的研制基于微型光譜儀的單片機(jī)系統(tǒng)單片機(jī)系統(tǒng)軟件構(gòu)件開發(fā)的技術(shù)研究基于單片機(jī)的液體點(diǎn)滴速度自動(dòng)檢測(cè)儀的研制基于單片機(jī)系統(tǒng)的多功能溫度測(cè)量?jī)x的研制基于PIC單片機(jī)的電能采集終端的設(shè)計(jì)和應(yīng)用基于單片機(jī)的光纖光柵解調(diào)儀的研制氣壓式線性摩擦焊機(jī)單片機(jī)控制系統(tǒng)的研制基于單片機(jī)的數(shù)字磁通門傳感器基于單片機(jī)的旋轉(zhuǎn)變壓器-數(shù)字轉(zhuǎn)換器的研究基于單片機(jī)的光纖Bragg光柵解調(diào)系統(tǒng)的研究單片機(jī)控制的便攜式多功能乳腺治療儀的研制基于C8051F020單片機(jī)的多生理信號(hào)檢測(cè)儀基于單片機(jī)的電機(jī)運(yùn)動(dòng)控制系統(tǒng)設(shè)計(jì)Pico專用單片機(jī)核的可測(cè)性設(shè)計(jì)研究基于MCS-51單片機(jī)的熱量計(jì)基于雙單片機(jī)的智能遙測(cè)微型氣象站MCS-51單片機(jī)構(gòu)建機(jī)器人的實(shí)踐研究基于單片機(jī)的輪軌力檢測(cè)基于單片機(jī)的GPS定位儀的研究與實(shí)現(xiàn)基于單片機(jī)的電液伺服控制系統(tǒng)用于單片機(jī)系統(tǒng)的MMC卡文件系統(tǒng)研制基于單片機(jī)的時(shí)控和計(jì)數(shù)系統(tǒng)性能優(yōu)化的研究基于單片機(jī)和CPLD的粗光柵位移測(cè)量系統(tǒng)研究單片機(jī)控制的后備式方波UPS提升高職學(xué)生單片機(jī)應(yīng)用能力的探究基于單片機(jī)控制的自動(dòng)低頻減載裝置研究基于單片機(jī)控制的水下焊接電源的研究基于單片機(jī)的多通道數(shù)據(jù)采集系統(tǒng)基于uPSD3234單片機(jī)的氚表面污染測(cè)量?jī)x的研制基于單片機(jī)的紅外測(cè)油儀的研究96系列單片機(jī)仿真器研究與設(shè)計(jì)基于單片機(jī)的單晶金剛石刀具刃磨設(shè)備的數(shù)控改造基于單片機(jī)的溫度智能控制系統(tǒng)的設(shè)計(jì)與實(shí)現(xiàn)基于MSP430單片機(jī)的電梯門機(jī)控制器的研制基于單片機(jī)的氣體測(cè)漏儀的研究基于三菱M16C/6N系列單片機(jī)的CAN/USB協(xié)議轉(zhuǎn)換器基于單片機(jī)和DSP的變壓器油色譜在線監(jiān)測(cè)技術(shù)研究基于單片機(jī)的膛壁溫度報(bào)警系統(tǒng)設(shè)計(jì)基于AVR單片機(jī)的低壓無(wú)功補(bǔ)償控制器的設(shè)計(jì)基于單片機(jī)船舶電力推進(jìn)電機(jī)監(jiān)測(cè)系統(tǒng)基于單片機(jī)網(wǎng)絡(luò)的振動(dòng)信號(hào)的采集系統(tǒng)基于單片機(jī)的大容量數(shù)據(jù)存儲(chǔ)技術(shù)的應(yīng)用研究基于單片機(jī)的疊圖機(jī)研究與教學(xué)方法實(shí)踐基于單片機(jī)嵌入式Web服務(wù)器技術(shù)的研究及實(shí)現(xiàn)基于AT89S52單片機(jī)的通用數(shù)據(jù)采集系統(tǒng)基于單片機(jī)的多道脈沖幅度分析儀研究機(jī)器人旋轉(zhuǎn)電弧傳感角焊縫跟蹤單片機(jī)控制系統(tǒng)基于單片機(jī)的控制系統(tǒng)在PLC虛擬教學(xué)實(shí)驗(yàn)中的應(yīng)用研究基于單片機(jī)系統(tǒng)的網(wǎng)絡(luò)通信研究與應(yīng)用基于PIC16F877單片機(jī)的莫爾斯碼自動(dòng)譯碼系統(tǒng)設(shè)計(jì)與研究基于單片機(jī)的模糊控制器在工業(yè)電阻爐上的應(yīng)用研究基于雙單片機(jī)沖床數(shù)控系統(tǒng)的研究與開發(fā)基于Cygnal單片機(jī)的μC/OS-Ⅱ的研究基于單片機(jī)的一體化智能差示掃描量熱儀系統(tǒng)研究基于TCP/IP協(xié)議的單片機(jī)與Internet互聯(lián)的研究與實(shí)現(xiàn)變頻調(diào)速液壓電梯單片機(jī)控制器的研究基于單片機(jī)γ-免疫計(jì)數(shù)器自動(dòng)換樣功能的研究與實(shí)現(xiàn)基于單片機(jī)的倒立擺控制系統(tǒng)設(shè)計(jì)與實(shí)現(xiàn)單片機(jī)嵌入式以太網(wǎng)防盜報(bào)警系統(tǒng)基于51單片機(jī)的嵌入式Internet系統(tǒng)的設(shè)計(jì)與實(shí)現(xiàn)單片機(jī)監(jiān)測(cè)系統(tǒng)在擠壓機(jī)上的應(yīng)用MSP430單片機(jī)在智能水表系統(tǒng)上的研究與應(yīng)用基于單片機(jī)的嵌入式系統(tǒng)中TCP/IP協(xié)議棧的實(shí)現(xiàn)與應(yīng)用單片機(jī)在高樓恒壓供水系統(tǒng)中的應(yīng)用基于ATmega16單片機(jī)的流量控制器的開發(fā)基于MSP430單片機(jī)的遠(yuǎn)程抄表系統(tǒng)及智能網(wǎng)絡(luò)水表的設(shè)計(jì)基于MSP430單片機(jī)具有數(shù)據(jù)存儲(chǔ)與回放功能的嵌入式電子血壓計(jì)的設(shè)計(jì)基于單片機(jī)的氨分解率檢測(cè)系統(tǒng)的研究與開發(fā)鍋爐的單片機(jī)控制系統(tǒng)基于單片機(jī)控制的電磁振動(dòng)式播種控制系統(tǒng)的設(shè)計(jì)基于單片機(jī)技術(shù)的WDR-01型聚氨酯導(dǎo)熱系數(shù)測(cè)試儀的研制一種RISC結(jié)構(gòu)8位單片機(jī)的設(shè)計(jì)與實(shí)現(xiàn)基于單片機(jī)的公寓用電智能管理系統(tǒng)設(shè)計(jì)基于單片機(jī)的溫度測(cè)控系統(tǒng)在溫室大棚中的設(shè)計(jì)與實(shí)現(xiàn)基于MSP430單片機(jī)的數(shù)字化超聲電源的研制基于ADμC841單片機(jī)的防爆軟起動(dòng)綜合控制器的研究基于單片機(jī)控制的井下低爆綜合保護(hù)系統(tǒng)的設(shè)計(jì)基于單片機(jī)的空調(diào)器故障診斷系統(tǒng)的設(shè)計(jì)研究單片機(jī)實(shí)現(xiàn)的尋呼機(jī)編碼器單片機(jī)實(shí)現(xiàn)的魯棒MRACS及其在液壓系統(tǒng)中的應(yīng)用研究自適應(yīng)控制的單片機(jī)實(shí)現(xiàn)方法及基上隅角瓦斯積聚處理中的應(yīng)用研究基于單片機(jī)的鍋爐智能控制器的設(shè)計(jì)與研究超精密機(jī)床床身隔振的單片機(jī)主動(dòng)控制PIC單片機(jī)在空調(diào)中的應(yīng)用單片機(jī)控制力矩加載控制系統(tǒng)的研究項(xiàng)目論證,項(xiàng)目可行性研究報(bào)告,可行性研究報(bào)告,項(xiàng)目推廣,項(xiàng)目研究報(bào)告,項(xiàng)目設(shè)計(jì),項(xiàng)目建議書,項(xiàng)目可研報(bào)告,本文檔支持完整下載,支持任意編輯!選擇我們,選擇成功!項(xiàng)目論證,項(xiàng)目可行性研究報(bào)告,可行性研究報(bào)告,項(xiàng)目推廣,項(xiàng)目研究報(bào)告,項(xiàng)目設(shè)計(jì),項(xiàng)目建議書,項(xiàng)目可研報(bào)告,本文檔支持完整下載,支持任意編輯!選擇我們,選擇成功!單片機(jī)論文,畢業(yè)設(shè)計(jì),畢業(yè)論文,單片機(jī)設(shè)計(jì),碩士論文,研究生論文,單片機(jī)研究論文,單片機(jī)設(shè)計(jì)論文,優(yōu)秀畢業(yè)論文,畢業(yè)論文設(shè)計(jì),畢業(yè)過(guò)關(guān)論文,畢業(yè)設(shè)計(jì),畢業(yè)設(shè)計(jì)說(shuō)明,畢業(yè)論文,單片機(jī)論文,基于單片機(jī)論文,畢業(yè)論文終稿,畢業(yè)論文初稿,本文檔支持完整下載,支持任意編輯!本文檔全網(wǎng)獨(dú)一無(wú)二,放心使用,下載這篇文檔,定會(huì)成功!湖南商學(xué)院《EDA技術(shù)及應(yīng)用》課程設(shè)計(jì)(實(shí)習(xí))報(bào)告題目智能函數(shù)發(fā)生器姓名:黃亞珍學(xué)號(hào):070910051專業(yè):電子信息工程班級(jí):電信0702指導(dǎo)教師:陳勇職稱:副教授計(jì)算機(jī)與電子工程學(xué)院2010年1月課程設(shè)計(jì)(實(shí)習(xí))評(píng)審表姓名黃亞珍學(xué)院電子信息工程學(xué)號(hào)070910051專業(yè)班級(jí)電信0702題目智能函數(shù)發(fā)生器評(píng)審意見評(píng)審成績(jī)指導(dǎo)教師簽名職稱評(píng)審時(shí)間年月日課程設(shè)計(jì)(實(shí)習(xí))作品驗(yàn)收表題目智能函數(shù)發(fā)生器參與人員姓名黃亞珍班級(jí)電信0702學(xué)號(hào)070910051設(shè)計(jì)任務(wù)與要求:作品完成情況:驗(yàn)收情況:驗(yàn)收教師簽名:___________年月日目錄1設(shè)計(jì)任務(wù)及要求 11.1設(shè)計(jì)任務(wù) 11.2設(shè)計(jì)要求 12總體設(shè)計(jì)方案 13各模塊詳細(xì)設(shè)計(jì)及實(shí)現(xiàn) 23.1下降斜坡信號(hào)產(chǎn)生 23.1.1詳細(xì)設(shè)計(jì) 23.1.2設(shè)計(jì)實(shí)現(xiàn) 23.2上升斜坡信號(hào)產(chǎn)生 33.2.1詳細(xì)設(shè)計(jì) 33.2.1設(shè)計(jì)實(shí)現(xiàn) 43.3正弦信號(hào)產(chǎn)生 43.3.1詳細(xì)設(shè)計(jì) 43.3.2設(shè)計(jì)實(shí)現(xiàn) 53.4階梯信號(hào)產(chǎn)生 63.4.1詳細(xì)設(shè)計(jì) 63.4.2設(shè)計(jì)實(shí)現(xiàn) 73.5方波信號(hào)產(chǎn)生 83.5.1詳細(xì)設(shè)計(jì) 83.5.2設(shè)計(jì)實(shí)現(xiàn) 83.6三角波信號(hào)產(chǎn)生 93.6.1詳細(xì)設(shè)計(jì) 93.6.2設(shè)計(jì)實(shí)現(xiàn) 93.7信號(hào)選擇 103.7.1詳細(xì)設(shè)計(jì) 103.7.2設(shè)計(jì)實(shí)現(xiàn) 113.8頂層原理圖 113.8.1詳細(xì)設(shè)計(jì) 113.8.2設(shè)計(jì)實(shí)現(xiàn) 124軟件仿真 125硬件實(shí)現(xiàn) 135.1引腳鎖定 135.2硬件測(cè)試 146心得體會(huì) 156.1遇到的問題及解決方法 156.2感想 15參考文獻(xiàn) 15附件 16智能函數(shù)發(fā)生器摘要自己寫關(guān)鍵字自己寫1設(shè)計(jì)任務(wù)及要求1.1設(shè)計(jì)任務(wù)1.2設(shè)計(jì)要求2總體設(shè)計(jì)方案(自己看書上面有)該設(shè)計(jì)主要分為8個(gè)模塊,前6個(gè)模塊是波形的VHDL描述模塊;第七個(gè)模塊的波形選擇模塊,也是用VHDL語(yǔ)言進(jìn)行描述,用于選擇何種波形進(jìn)行最后的輸出;最后一個(gè)模塊是頂層原理圖模塊,是將前7個(gè)模塊連接在一起構(gòu)成完整的只能函數(shù)發(fā)生器。具體的總體設(shè)計(jì)方框圖如下:頂層原理圖波形選擇下降斜坡信號(hào)方波信號(hào)頂層原理圖波形選擇下降斜坡信號(hào)方波信號(hào)階梯波信號(hào)上升斜坡信號(hào)正弦波信號(hào)三角波信號(hào)3各模塊詳細(xì)設(shè)計(jì)及實(shí)現(xiàn)3.1下降斜坡信號(hào)產(chǎn)生3.1.1詳細(xì)設(shè)計(jì)見書3.1.2設(shè)計(jì)實(shí)現(xiàn)具體VHDL語(yǔ)言描述如下:entitydeslopeis--實(shí)體port(clk,reset:instd_logic;--輸入端口定義,為標(biāo)準(zhǔn)邏輯位q:outstd_logic_vector(7downto0)--輸出端口定義,為8位標(biāo)準(zhǔn)邏輯矢量);enddeslope;architecturebehaveofdeslopeis--結(jié)構(gòu)體beginprocess(reset,clk)--進(jìn)程variablecnt:std_logic_vector(7downto0):="11111111";--定義變量CNTbeginifreset='0'thencnt:=(others=>'1');--當(dāng)RESET為0時(shí),輸出Q為1elsifclk'eventandclk='1'then--當(dāng)時(shí)鐘上升沿到來(lái)時(shí),判斷變量CNTifcnt="00000000"then是否為0,即降到最地點(diǎn)cnt:=(others=>'1');--若CNT為0,則置為全1elsecnt:=cnt-1;--否則,CNT減1endif;endif;q<=cnt;--將CNT賦值給輸出信號(hào)Qendprocess;--結(jié)束進(jìn)程endbehave;--結(jié)構(gòu)體結(jié)束3.2上升斜坡信號(hào)產(chǎn)生3.2.1詳細(xì)設(shè)計(jì)見書3.2.1設(shè)計(jì)實(shí)現(xiàn)具體VHDL語(yǔ)言描述如下:entityinslopeis--實(shí)體port(clk,reset:instd_logic;--端口定義q:outstd_logic_vector(7downto0));endinslope;--實(shí)體結(jié)束architecturebehaveofinslopeis--結(jié)構(gòu)體beginprocess(clk,reset)--進(jìn)程,CLK及RESET為敏感信號(hào)variablecnt:std_logic_vector(7downto0);--定義變量CNTbeginifreset='0'thencnt:=(others=>'0');--當(dāng)RESET為0時(shí),重置為,輸出置為0elsifclk'eventandclk='1'then--當(dāng)時(shí)鐘上升沿到來(lái)時(shí),判斷CNT是否為ifcnt="11111111"then最大值cnt:=(others=>'0');--若CNT為最大值,置為全0elsecnt:=cnt+1;--否則,CNT加1endif;endif;q<=cnt;--將變量CNT賦值給輸出Qendprocess;--進(jìn)程結(jié)束endbehave;--結(jié)構(gòu)體結(jié)束3.3正弦信號(hào)產(chǎn)生3.3.1詳細(xì)設(shè)計(jì)見書3.3.2設(shè)計(jì)實(shí)現(xiàn)具體VHDL語(yǔ)言描述如下:entitysinwaveis--實(shí)體port(clk,reset:instd_logic;--端口定義q:outintegerrange0to255);--輸出為正整數(shù)endsinwave;--實(shí)體結(jié)束architecturebehaveofsinwaveis--結(jié)構(gòu)體beginprocess(clk,reset)--進(jìn)程variablecnt:integerrange0to63;--定義變量CNT為常數(shù)beginifreset='0'thenq<=0;--若復(fù)位信號(hào)為0,則輸出置為0elsifclk'eventandclk='1'then--當(dāng)時(shí)鐘信號(hào)上升沿到來(lái)時(shí)ifcnt=63then--若CNT為63時(shí)則置為0cnt:=0;else--否則,CNT加1,相當(dāng)于CNT為cnt:=cnt+1;一個(gè)64進(jìn)制的計(jì)數(shù)器endif;casecntis--查表輸出,根據(jù)不同CNT值確定輸出Q的值when00=>q<=255;when01=>q<=254;when02=>q<=252;when03=>q<=249;when04=>q<=245;when05=>q<=239;when06=>q<=233;when07=>q<=225;when08=>q<=217;when09=>q<=207;when10=>q<=197;when11=>q<=186;when12=>q<=174;when13=>q<=162;when14=>q<=150;when15=>q<=137;when16=>q<=124;when17=>q<=112;when18=>q<=99;when19=>q<=87;when20=>q<=75;when21=>q<=64;when22=>q<=53;when23=>q<=43;when24=>q<=34;when25=>q<=26;when26=>q<=19;when27=>q<=13;when28=>q<=8;when29=>q<=4;when30=>q<=1;when31=>q<=0;when32=>q<=0;when33=>q<=1;when34=>q<=4;when35=>q<=8;when36=>q<=13;when37=>q<=19;when38=>q<=26;when39=>q<=34;when40=>q<=43;when41=>q<=53;when42=>q<=64;when43=>q<=75;when44=>q<=87;when45=>q<=99;when46=>q<=112;when47=>q<=124;when48=>q<=137;when49=>q<=150;when50=>q<=162;when51=>q<=174;when52=>q<=186;when53=>q<=197;when54=>q<=207;when55=>q<=217;when56=>q<=225;when57=>q<=233;when58=>q<=239;when59=>q<=245;when60=>q<=249;when61=>q<=252;when62=>q<=254;when63=>q<=255;endcase;endif;endprocess;--進(jìn)程結(jié)束endbehave;--結(jié)構(gòu)體結(jié)束3.4階梯信號(hào)產(chǎn)生3.4.1詳細(xì)設(shè)計(jì)見書3.4.2設(shè)計(jì)實(shí)現(xiàn)具體的VHDL描述語(yǔ)言如下:entitystairis--實(shí)體port(clk,reset:instd_logic;--端口定義q:outstd_logic_vector(7downto0));endstair;architecturebehaveofstairis--結(jié)構(gòu)體beginprocess(clk,reset)--進(jìn)程variablecnt:std_logic_vector(7downto0);--變量定義,計(jì)數(shù)CNTvariabletemp:std_logic;--變量定義,標(biāo)志TEMPbeginifreset='0'thencnt:=(others=>'0');--當(dāng)RESET為0時(shí),CNT置0elsifclk'eventandclk='1'then--當(dāng)時(shí)鐘上升沿來(lái)到時(shí)iftemp='0'then--標(biāo)志TEMP為0時(shí),進(jìn)行CNT賦值ifcnt="11111111"then--CNT到最大值時(shí),置0cnt:=(others=>'0');temp:='1';elsecnt:=cnt+16;temp:='1';--否則,CNT加16(階梯常數(shù))endif;--CNT值改變后,標(biāo)志TEMP置1elsetemp:='0';--標(biāo)志TEMP為其他值時(shí),進(jìn)行TEMP置0endif;endif;q<=cnt;--將CNT賦值給輸出信號(hào)Qendprocess;--進(jìn)程結(jié)束endbehave;--結(jié)束結(jié)構(gòu)體3.5方波信號(hào)產(chǎn)生3.5.1詳細(xì)設(shè)計(jì)見書。3.5.2設(shè)計(jì)實(shí)現(xiàn)具體VHDL語(yǔ)言描述如下:entitysquareis--實(shí)體port(clk,reset:instd_logic;--端口定義q:outstd_logic_vector(7downto0));endsquare;architecturebehaveofsquareis--結(jié)構(gòu)體signaltemp:std_logic;--定義標(biāo)志變量TEMPbeginprocess(clk,reset)--進(jìn)程,敏感信號(hào)為CLK,RESETvariablecnt:integer;--定義變量CNT,用于計(jì)數(shù)beginifreset='0'thentemp<='0';--RESET有效時(shí),標(biāo)志TEMP置為0elsifclk'eventandclk='1'then--當(dāng)時(shí)鐘信號(hào)上升沿到來(lái)時(shí)ifcnt<63then--CNT為64進(jìn)制計(jì)數(shù)量,到63時(shí)置0cnt:=cnt+1;--否則CNT加1elsecnt:=0;temp<=nottemp;--64個(gè)時(shí)鐘周期后,TEMP取反endif;endif;endprocess;--進(jìn)程結(jié)束q<="11111111"whentemp='1'else--根據(jù)TEMP的值來(lái)確定輸出"00000000";endbehave;--結(jié)構(gòu)體結(jié)束3.6三角波信號(hào)產(chǎn)生3.6.1詳細(xì)設(shè)計(jì)見書3.6.2設(shè)計(jì)實(shí)現(xiàn)entitytriangleis--實(shí)體port(clk,reset:instd_logic;--端口定義q:outstd_logic_vector(7downto0));endtriangle;architecturebehaveoftriangleis--結(jié)構(gòu)體beginprocess(clk,reset)--進(jìn)程variablecnt:std_logic_vector(7downto0);--定義計(jì)數(shù)變量CNTvariabletemp:std_logic;--定義標(biāo)志變量TEMPbeginifreset='0'thencnt:="00000000";--RESET為0時(shí),CNT為0elsifclk'eventandclk='1'then--當(dāng)時(shí)鐘上升沿到來(lái)時(shí)iftemp='0'then--TEMP為0時(shí),CNT遞減ifcnt="00000001"thencnt:="00000000";temp:='1';--CNT為最小值時(shí),TEMP值1elsecnt:=cnt-1;endif;elsiftemp='1'then--TEMP為1時(shí),CNT遞增ifcnt="11111110"thencnt:="11111111";temp:='0';--CNT為最大值時(shí),TEMP置0elsecnt:=cnt+1;endif;endif;endif;q<=cnt;--將CNT賦值給輸出信號(hào)Qendprocess;--進(jìn)程結(jié)束endbehave;--結(jié)構(gòu)體結(jié)束3.7信號(hào)選擇3.7.1詳細(xì)設(shè)計(jì)實(shí)體部分主要是端口定義。7個(gè)輸入信號(hào),一個(gè)選擇信號(hào)CHIOCE,D0至D5為輸入端口,是8位的標(biāo)準(zhǔn)邏輯矢量。1個(gè)輸出信號(hào)Q。結(jié)構(gòu)體部分描述實(shí)體的功能,根據(jù)不同的選擇信號(hào)CHOICE值的不同將端口D0到D5的值從Q進(jìn)行輸出。這部分用的并行條件賦值語(yǔ)句。3.7.2設(shè)計(jì)實(shí)現(xiàn)具體VHDL語(yǔ)言描述如下:entityselect6is--實(shí)體port(choice:instd_logic_vector(2downto0);--端口定義d0,d1,d2,d3,d4,d5:instd_logic_vector(7downto0);q:outstd_logic_vector(7downto0));endselect6;architecturebehaveofselect6isbegin--根據(jù)不同CHOICE的值進(jìn)行選擇輸出q<=d0whenchoice="000"elsed1whenchoice="001"elsed2whenchoice="010"elsed3whenchoice="011"elsed4whenchoice="100"elsed5;endbehave;3.8頂層原理圖3.8.1詳細(xì)設(shè)計(jì)3個(gè)輸入信號(hào),選擇信號(hào)CHICE,時(shí)鐘信號(hào)CLK,復(fù)位信號(hào)RESET。沒個(gè)波形的輸出端口接選擇模塊的輸入端口D0到D5。當(dāng)選擇信號(hào)為0時(shí),輸出下降的斜坡信號(hào);當(dāng)選擇信號(hào)為1時(shí),輸出上升的斜坡信號(hào);當(dāng)選擇信號(hào)為2時(shí),輸出為正弦波;當(dāng)選擇信號(hào)為3時(shí),輸出為方波信號(hào)。當(dāng)選擇信號(hào)為4時(shí),輸出為階梯信號(hào);當(dāng)選擇信號(hào)為5時(shí),輸出為三角波信號(hào)。3.8.2設(shè)計(jì)實(shí)現(xiàn)具體設(shè)計(jì)圖形如下:圖2頂層原理圖4軟件仿真當(dāng)選擇信號(hào)取不同值時(shí)輸出不同的波形。選擇信號(hào)為0時(shí),輸出為下降斜坡:圖3下降斜坡信號(hào)輸出選擇信號(hào)為1時(shí),輸出為上升斜坡:圖4上升斜坡信號(hào)輸出選擇信號(hào)為2時(shí),輸出為正弦波:圖5正弦波信號(hào)輸出選擇信號(hào)為3時(shí),輸出為方波:圖6方波信號(hào)輸出選擇信號(hào)為4,輸出為階梯波:圖7階梯波信號(hào)輸出選擇信號(hào)為5時(shí),輸出為三角波:圖8三角波信號(hào)輸出5硬件實(shí)現(xiàn)5.1引腳鎖定首先在MAX+PLUSSII上進(jìn)行引腳鎖定,引腳鎖定的具體模式選擇1,芯片選擇的是EPF10K10LC84-4。其端口的具體對(duì)應(yīng)如下表格:表1引腳對(duì)應(yīng)表格對(duì)應(yīng)實(shí)驗(yàn)箱上的位置端口名稱引腳名稱對(duì)應(yīng)引腳號(hào)鍵7CLKPIO4881鍵8RESETPIO4980鍵1CHOICE[0]PIO010CHOICE[1]PIO111CHOICE[2]PIO212數(shù)碼管7Q0PIO2439Q1PIO2547Q2PIO2648Q3PIO2749數(shù)碼管8Q4PIO2850Q5PIO2951Q6PIO3052Q7PIO31535.2硬件測(cè)試你就描述下功能6心得體會(huì)6.1遇到的問題及解決方法自己寫。6.2感想自己參考文獻(xiàn)[1]朱正偉.EDA技術(shù)及應(yīng)用[M].北京:清華大學(xué)出版社,2005[2]沈明山.EDA技術(shù)及可編程器件應(yīng)用實(shí)例[M].北京:科學(xué)出版社,2004[3]楊恒.FPGA/VHDL快速工程實(shí)踐入門與提高[M].北京:北京航空航天大學(xué)出版社,2003附件各模塊VHDL語(yǔ)言描述及原理圖設(shè)計(jì)。上升斜坡波形設(shè)計(jì):libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityinslopeisport(clk,reset:instd_logic;q:outstd_logic_vector(7downto0));endinslope;architecturebehaveofinslopeisbeginprocess(clk,reset)variablecnt:std_logic_vector(7downto0);beginifreset='0'thencnt:=(others=>'0');elsifclk'eventandclk='1'thenifcnt="11111111"thencnt:=(others=>'0');elsecnt:=cnt+1;endif;endif;q<=cnt;endprocess;endbehave;下降斜坡波形設(shè)計(jì):libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitydeslopeisport(clk,reset:instd_logic;q:outstd_logic_vector(7downto0));enddeslope;architecturebehaveofdeslopeisbeginprocess(reset,clk)variablecnt:std_logic_vector(7downto0):="11111111";beginifreset='0'thencnt:=(others=>'1');elsifclk'eventandclk='1'thenifcnt="00000000"thencnt:=(others=>'1');elsecnt:=cnt-1;endif;endif;q<=cnt;endprocess;endbehave;正弦波設(shè)計(jì):libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitysinwaveisport(clk,reset:instd_logic;q:outintegerrange0to255);endsinwave;architecturebehaveofsinwaveisbeginprocess(clk,reset)variablecnt:integerrange0to63;beginifreset='0'thenq<=0;elsifclk'eventandclk='1'thenifcnt=63thencnt:=0;elsecnt:=cnt+1;endif;casecntiswhen00=>q<=255;when01=>q<=254;when02=>q<=252;when03=>q<=249;when04=>q<=245;when05=>q<=239;when06=>q<=233;when07=>q<=225;when08=>q<=217;when09=>q<=207;when10=>q<=197;when11=>q<=186;when12=>q<=174;when13=>q<=162;when14=>q<=150;when15=>q<=137;when16=>q<=124;when17=>q<=112;when18=>q<=99;when19=>q<=87;when20=>q<=75;when21=>q<=64;when22=>q<=53;when23=>q<=43;when24=>q<=34;when25=>q<=26;when26=>q<=19;when27=>q<=13;when28=>q<=8;when29=>q<=4;when30=>q<=1;when31=>q<=0;when32=>q<=0;when33=>q<=1;when34=>q<=4;when35=>q<=8;when36=>q<=13;when37=>q<=19;when38=>q<=26;when39=>q<=34;when40=>q<=43;when41=>q<=53;when42=>q<=64;when43=>q<=75;when44=>q<=87;when45=>q<=99;when46=>q<=112;when47=>q<=124;when48=>q<=137;when49=>q<=150;when50=>q<=162;when51=>q<=174;when52=>q<=186;when53=>q<=197;when54=>q<=207;when55=>q<=217;when56=>q

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論