微機(jī)原理與接口技術(shù)素材-牟琦-賈建萍-清華大學(xué)出版課件_第1頁
微機(jī)原理與接口技術(shù)素材-牟琦-賈建萍-清華大學(xué)出版課件_第2頁
微機(jī)原理與接口技術(shù)素材-牟琦-賈建萍-清華大學(xué)出版課件_第3頁
微機(jī)原理與接口技術(shù)素材-牟琦-賈建萍-清華大學(xué)出版課件_第4頁
微機(jī)原理與接口技術(shù)素材-牟琦-賈建萍-清華大學(xué)出版課件_第5頁
已閱讀5頁,還剩51頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

第1章緒論第1章緒論1.1概述1.2計(jì)算機(jī)中的數(shù)據(jù)表示與編碼1.3微型計(jì)算機(jī)的邏輯電路基礎(chǔ)1.4微型計(jì)算機(jī)基本結(jié)構(gòu)與工作原理1.5例題解析第1章緒論1.1概述1.2計(jì)算機(jī)中的數(shù)據(jù)表示與編碼1.3微型計(jì)算1.1概述計(jì)算機(jī)系統(tǒng)是一個(gè)由硬件、軟件組成的復(fù)雜的電子裝置,它能夠存儲程序和原始數(shù)據(jù)、中間結(jié)果和最終運(yùn)算結(jié)果,并自動(dòng)完成運(yùn)算,是一種能對各種數(shù)字化信息進(jìn)行處理的“信息處理機(jī)”。目前人們所說的計(jì)算機(jī)都是指電子數(shù)字計(jì)算機(jī),曾經(jīng)出現(xiàn)過的機(jī)械的、模擬的計(jì)算機(jī)已經(jīng)逐漸消失。利用計(jì)算機(jī)不僅能夠完成數(shù)學(xué)運(yùn)算,而且還可以進(jìn)行邏輯運(yùn)算,同時(shí)還具有推理判斷的能力。因此,人們又稱它為“電腦”?,F(xiàn)在,科學(xué)家們正在研究具有“思維能力”的智能計(jì)算機(jī)。隨著科學(xué)技術(shù)的發(fā)展,人們對計(jì)算機(jī)能力的認(rèn)識也在不斷地深入。1.1概述計(jì)算機(jī)系統(tǒng)是一個(gè)由硬件、軟件組成的復(fù)雜的電子裝置1.1.1微型計(jì)算機(jī)及其發(fā)展概況1946年,世界上出現(xiàn)第一臺數(shù)字式電子計(jì)算機(jī)ENIAC

(電子數(shù)據(jù)積分器)發(fā)展到以大規(guī)模集成電路為主要部件的第四代,產(chǎn)生了微型計(jì)算機(jī)1971年,Intel公司設(shè)計(jì)了世界上第一個(gè)微處理器芯片Intel4004

,開創(chuàng)了一個(gè)全新的計(jì)算機(jī)時(shí)代1.1.1微型計(jì)算機(jī)及其發(fā)展概況1946年,世界上出現(xiàn)第一微型計(jì)算機(jī)微處理器(Microprocessor),簡稱μP或MP,是由一片或幾片大規(guī)模集成電路組成的具有運(yùn)算器和控制器的中央處理機(jī)部件,即CPU(CertalProcessingUnit)。微處理器本身并不等于微型計(jì)算機(jī),它僅僅是微型計(jì)算機(jī)中央處理器,有時(shí)為了區(qū)別大、中、小型中央處理器(CPU)與微處理器,把前者稱為CPU,后者稱為MPU(MicroprocessingUnit)。微型計(jì)算機(jī)(Microcomputer),簡稱μC或MC,是指以微處理器為核心,配上由大規(guī)模集成電路制作的存儲器、輸入/輸出接口電路及系統(tǒng)總線所組成的計(jì)算機(jī)微型計(jì)算機(jī)系統(tǒng)(MicrocomputerSystem),簡稱μCS或MCS,是指以微型計(jì)算機(jī)為中心,以相應(yīng)的外圍設(shè)備、電源、輔助電路以及控制微型計(jì)算機(jī)工作的系統(tǒng)軟件所構(gòu)成的計(jì)算機(jī)系統(tǒng)。微型計(jì)算機(jī)2.微型計(jì)算機(jī)系統(tǒng)的主要性能指標(biāo)⑴字長⑵內(nèi)存容量⑶指令系統(tǒng)⑷運(yùn)算速度⑸容許配置的外設(shè)數(shù)量⑹系統(tǒng)軟件的配置2.微型計(jì)算機(jī)系統(tǒng)的主要性能指標(biāo)⑴字長3.微型計(jì)算機(jī)的發(fā)展簡史第1代:4位和低檔8位微機(jī)I4004→I4040→I8008第2代:中高檔8位微機(jī)M6800、I8080、Z80、I8085

Apple-II微機(jī)、MCS-48、MCS-51系列第3代:16位微機(jī)M68000、Z8000I8086/8088→80286,IBMPC系列機(jī)3.微型計(jì)算機(jī)的發(fā)展簡史第1代:4位和低檔8位微機(jī)第4代:32位微機(jī)I80386→80486→Pentium→PentiumII→PentiumIII→Pentium4第5代:64位微機(jī)Titanium、64位RISC微處理器芯片第4代:32位微機(jī)3.微型計(jì)算機(jī)的新技術(shù)⑴流水線技術(shù)⑵芯片上存儲管理技術(shù)⑶虛擬存儲管理技術(shù)⑷并行處理的哈佛結(jié)構(gòu)⑸RISC結(jié)構(gòu)⑹整片集成技術(shù)⑺多媒體技術(shù)3.微型計(jì)算機(jī)的新技術(shù)⑴流水線技術(shù)91.1.2微型計(jì)算機(jī)的特點(diǎn)1.功能強(qiáng)2.可靠性高3.價(jià)格低廉4.適應(yīng)性強(qiáng),系統(tǒng)設(shè)計(jì)靈活5.周期短、見效快6.體積小、重量輕、耗電省7.維護(hù)方便1.1.2微型計(jì)算機(jī)的特點(diǎn)1.功能強(qiáng)1.2計(jì)算機(jī)中的數(shù)據(jù)表示與編碼所謂編碼,就是用少量、簡單的基本符號,選用一定的組合規(guī)則,來表示大量復(fù)雜多樣的信息?;痉柕姆N類和這些符號的組合規(guī)則構(gòu)成編碼的兩大要素。例如,用10個(gè)阿拉伯?dāng)?shù)字表示數(shù)值,用26個(gè)英文字母構(gòu)成英文詞匯,就是現(xiàn)實(shí)生活中編碼的典型例子。在計(jì)算機(jī)中,廣泛采用的是僅用“0”和“1”兩個(gè)基本符號組成的基2碼,亦稱為二進(jìn)制碼。這是由于以下三個(gè)原因:①基2碼在物理上最容易實(shí)現(xiàn)。②基2碼用來表示二進(jìn)制數(shù),其編碼、加減運(yùn)算規(guī)則簡單。③基2碼的兩個(gè)符號“1”和“0”正好與邏輯數(shù)據(jù)“真”與“假”相對應(yīng),為計(jì)算機(jī)實(shí)現(xiàn)邏輯運(yùn)算帶來了方便。因此,不論是什么信息,在輸入計(jì)算機(jī)內(nèi)部時(shí),都必須用基2碼編碼表示,以方便存儲、傳送和處理。1.2計(jì)算機(jī)中的數(shù)據(jù)表示與編碼1.2.1數(shù)和數(shù)制1.數(shù)制與進(jìn)位記數(shù)法

十進(jìn)制:逢十進(jìn)一0,1,…,9,10二進(jìn)制:逢二進(jìn)一0,1,10八進(jìn)制:逢八進(jìn)一0,1,…,7,10十六進(jìn)制:逢十六進(jìn)一 0,1,…,9,A,B,C,D,E,F,10例:(892)10或(892)D例:(10010)2或(10010)B

例:(71)8或(71)O

例:(3A)16或(3A)H1.2.1數(shù)和數(shù)制1.數(shù)制與進(jìn)位記數(shù)法十進(jìn)制:2.數(shù)制轉(zhuǎn)換二、八、十六進(jìn)制→

十進(jìn)制十進(jìn)制→

二、八、十六進(jìn)制二進(jìn)制→

八、十六進(jìn)制八、十六進(jìn)制→

二進(jìn)制2.數(shù)制轉(zhuǎn)換二、八、十六進(jìn)制→十進(jìn)制十進(jìn)制→(1)二(八、十六)進(jìn)制→十進(jìn)制:按權(quán)展開相加二進(jìn)制:2n……23222120.2-12-2……2-m八進(jìn)制:8n……83828180.8-18-2……8-m十六進(jìn)制:16n……163162161160.16-116-2……16-m各種進(jìn)制位權(quán)如下:(1)二(八、十六)進(jìn)制→十進(jìn)制:按權(quán)展開相加二進(jìn)制(1101.1)2=1×23+1×22+0×21+1×20+1×2-1=(13.5)10(371.2)8=3×82+7×81+1×80+2×8-1=192+56+1+0.25=(249.25)10(4E.8)16=4×161+14×160+8×16-1=64+14+0.5=(78.5)10(1101.1)2=1×23+1×22+0×21+1×20整數(shù)部分:除2(8,16)取余小數(shù)部分:乘2(8,16)取整(2).十進(jìn)制→

二、八、十六進(jìn)制例(77.25)10

=(1001101.01)2

=(115.2)8 =(4D.4)16整數(shù)部分:除2(8,16)取余(2).十進(jìn)制770.25×20.50×21.001001101.01(77.25)10=()2余數(shù)238(1192(029(124(122(021(020(1770.250.50×77115.0.25×82.002(77.25)10=()8余數(shù)89(581(180(177115.0.252.002(774D.0.25×164.004(77.25)10=()16余數(shù)77164(13160(44D.0.254.004(77.25)(3).二進(jìn)制→八進(jìn)制:3位合并成1位十六進(jìn)制:4位合并成1位例:(1001101.01)25112(1001101.01)2D44=()8115.2=()164D.4(3).二進(jìn)制→八進(jìn)制:3位合并成1位十六進(jìn)制:例:八進(jìn)制十六進(jìn)制→二進(jìn)制1位拆分為3位1位拆分為4位(115.2)8=()21001101.010(4D.4)16=()21001101.0100(4).例:八進(jìn)制十六進(jìn)制→二進(jìn)制1位拆分為3位1位拆分為4位(11.2.2計(jì)算機(jī)中帶符號數(shù)的表示方法機(jī)器碼: 數(shù)值在計(jì)算機(jī)中的表示形式(二進(jìn)制)。例:100111001.2.2計(jì)算機(jī)中帶符號數(shù)的表示方法機(jī)器碼:例:1001機(jī)器數(shù)的特點(diǎn):表示的數(shù)的范圍受計(jì)算機(jī)字長的限制;例計(jì)算機(jī)字長為8位時(shí),無符號數(shù)的范圍是00000000——11111111(0~255)符號位被數(shù)字化(正號:0負(fù)號:1)小數(shù)點(diǎn)處于約定的位置例計(jì)算機(jī)字長為8位時(shí),有符號數(shù)的范圍是11111111——01111111(-127~+127)定點(diǎn)數(shù):小數(shù)點(diǎn)的位置固定不變。浮點(diǎn)數(shù):小數(shù)點(diǎn)的位置允許浮動(dòng)。機(jī)器數(shù)的特點(diǎn):表示的數(shù)的范圍受計(jì)算機(jī)字長的限制;例原碼反碼補(bǔ)碼機(jī)器數(shù)的三種表示原碼反碼補(bǔ)碼機(jī)器數(shù)的三種表示原碼表示方法符號位用0或1表示,數(shù)值部分不變例:(設(shè)機(jī)器字長為8位)13的原碼為00001101-13的原碼為100011010的原碼表示(兩種方法)[+0]原=00000000[-0]原=10000000原碼表示方法符號位用0或1表示,數(shù)值部分不變例:(設(shè)機(jī)反碼表示方法0的反碼表示(兩種方法)X>0時(shí),[X]反=[X]原X<0時(shí),[X]反=將原碼除符號位外逐位取反[+0]反=00000000[-0]反=11111111反碼表示方法0的反碼表示(兩種方法)X>0時(shí),[X]反補(bǔ)碼表示方法0的補(bǔ)碼表示(一種方法)X>0時(shí),[X]補(bǔ)=[X]原X<0時(shí),[X]補(bǔ)=[X]反+1[+0]補(bǔ)=00000000[-0]補(bǔ)=11111111+1=00000000補(bǔ)碼表示方法0的補(bǔ)碼表示(一種方法)X>0時(shí),[X]補(bǔ)例1.11機(jī)器字長n=8位,x=+56D,求[x]補(bǔ),結(jié)果用十六進(jìn)制表示。解:因?yàn)闄C(jī)器字長是8位,其中符號占了1位,所以數(shù)值部分應(yīng)占7位:+56D=+0111000B,則[+56]補(bǔ)=00111000B=38H例1.12機(jī)器字長n=8位,x=-56D,求[x]補(bǔ),結(jié)果用十六進(jìn)制表示。解:因?yàn)闄C(jī)器字長是8位,其中符號占了1位,所以數(shù)值部分應(yīng)占7位:-56D=-0111000B,則[-56]補(bǔ)=11001000B=0C8H注意:匯編語言中,為了區(qū)別指令碼和數(shù)據(jù),規(guī)定A~F開始的數(shù)據(jù)前面加零。例1.13機(jī)器字長n=16位,x=+56D,求[x]補(bǔ),結(jié)果用十六進(jìn)制表示。解:因?yàn)闄C(jī)器字長是16位,其中符號占了1位,所以數(shù)值部分應(yīng)占15位:+56D=+111000B=+000000000111000,則[+56]補(bǔ)=0000000000111000B=0038H例1.14解:機(jī)器字長n=16位,x=-56D,求[x]補(bǔ),結(jié)果用十六進(jìn)制表示。因?yàn)闄C(jī)器字長是16位,其中符號占了1位,所以數(shù)值部分應(yīng)占15位:-56D=-111000B=-000000000111000,則[-56]補(bǔ)=1111111111001000B=0FFC8H

例1.11機(jī)器字長n=8位,x=+56D,求[x]補(bǔ),結(jié)果①補(bǔ)碼加法

[X+Y]補(bǔ)=[X]補(bǔ)+[Y]補(bǔ)(mod2n)

②補(bǔ)碼減法

[X-Y]補(bǔ)=[X]補(bǔ)+[-Y]補(bǔ)

二進(jìn)制數(shù)補(bǔ)碼的運(yùn)算000110001001+)000110001001+)①補(bǔ)碼加法

[X+Y]補(bǔ)=[X]補(bǔ)+[Y]補(bǔ)000110001001+)000110001001+)例1.15用補(bǔ)碼進(jìn)行下列運(yùn)算:(+33)+(+15);(-33)+(+15);(+33)+(-15);(-33)+(-15)。解 +33D=+0100001B, [+33]補(bǔ)=00100001 +15D=+0001111B, [+15]補(bǔ)=00001111 -33D=-0100001B, [-33]補(bǔ)=11011111 -15D=-0001111B, [-15]補(bǔ)=11110001 00100001[+33]補(bǔ)

11011111 [-33]補(bǔ)+00001111 [+15]補(bǔ)

+00001111 [+15]補(bǔ)00110000 [+48]補(bǔ)

11101110 [-18]補(bǔ)00100001 [+33]補(bǔ)

11011111 [-33]補(bǔ)+11110001 [-15]補(bǔ)

+ 11110001 [-15]補(bǔ)(1)00010010 [+18]補(bǔ) (1) 11010000 [-48]補(bǔ)進(jìn)位,丟掉進(jìn)位,丟掉0001+)0001+)例1.15進(jìn)位,丟掉進(jìn)位000110001001+)進(jìn)位,丟掉例1.17設(shè)x=+64D,y=+10D,用補(bǔ)碼計(jì)算x-y,結(jié)果用十進(jìn)制形式表示。解 x=+1000000B, [x]補(bǔ) =01000000 y=+0001010B, [-y]補(bǔ) =11110110

01000000 [x]補(bǔ)

+11110110 [-y]補(bǔ) (1) 00110110 [x-y]補(bǔ)

[x-y]補(bǔ) =00110110所以x-y =+0110110B=+54D0001+)進(jìn)位,丟掉例1.17

(1)定點(diǎn)純整數(shù):小數(shù)點(diǎn)的位置在所有數(shù)字之后(2)定點(diǎn)純小數(shù):小數(shù)點(diǎn)的位置在所有數(shù)字之前1.2.3定點(diǎn)數(shù)與浮點(diǎn)數(shù)(1)定點(diǎn)純整數(shù):小數(shù)點(diǎn)的位置在所有數(shù)字之后(2)定點(diǎn)純小數(shù)階符階碼數(shù)符尾數(shù)定點(diǎn)純整數(shù)定點(diǎn)純小數(shù)0或1(3).浮點(diǎn)數(shù)階符階碼數(shù)符尾數(shù)定點(diǎn)純整數(shù)定點(diǎn)純小數(shù)0或1(3).浮點(diǎn)1.BCD碼(二—十進(jìn)制碼)是一種用二進(jìn)制編碼表示十進(jìn)制數(shù)的編碼方法最常用的BCD碼是8421碼.用4位二進(jìn)制編碼表示1位十進(jìn)制數(shù).例如:

(52

9)10=(0101

0010

1001)BCD1.2.4十進(jìn)制數(shù)的編碼1.BCD碼(二—十進(jìn)制碼)是一種用二進(jìn)制編碼表示十進(jìn)制數(shù)2.BCD碼的加減運(yùn)算

BCD碼的運(yùn)算規(guī)則:當(dāng)兩個(gè)BCD碼相加,如果和等于或小于1001,即9H,不需要修正;如果相加之和在1010到1111即0AH~0FH之間,則需加6H加以修正;如果相加時(shí)本位產(chǎn)生了進(jìn)位,則需加6H加以修正。2.BCD碼的加減運(yùn)算BCD碼的運(yùn)算規(guī)000110001001+)000110001001+)000110001001+)例1.20利用BCD碼計(jì)算4+5。解

(4)BCD=0100,(5)BCD=0101運(yùn)算過程如下:0100 4+) 0101 51001 9例1.21利用BCD碼計(jì)算計(jì)算5+7。解

(5)BCD=0101,(7)BCD=0111運(yùn)算過程如下:例1.22利用BCD碼計(jì)算計(jì)算8+9。解

(8)BCD=1000,(9)BCD=1001運(yùn)算過程如下:1000 8+) 1001 91 0001 結(jié)果大于9+) 0110 加6修正1 0111 170101 5+) 0111 71100 結(jié)果大于9+) 0110 加6修正1 0010 12(進(jìn)位)0001+)0001+)0001+)例1.1.2.5ASCII字符代碼1.ASCII碼(AmericanStandardCodeForInformationInterchange)

西文字符在計(jì)算機(jī)內(nèi)的二進(jìn)制表示①兩種版本②編碼順序7位版本:用7個(gè)二進(jìn)制位代表一個(gè)字符(128個(gè))8位版本:控制字符<空格<數(shù)字‘0’~‘9’<大寫字母‘A’~’Z’<小寫字母‘a(chǎn)’~’z’1.2.5ASCII字符代碼1.ASCII碼(A1.3微型計(jì)算機(jī)的邏輯電路基礎(chǔ)1.3.1觸發(fā)器觸發(fā)器(Trigger)是計(jì)算機(jī)的記憶裝置的基本單元,也是構(gòu)成時(shí)序電路的基礎(chǔ)。在計(jì)算機(jī)中用觸發(fā)器來存儲數(shù)據(jù),1個(gè)觸發(fā)器存儲1位二進(jìn)制數(shù)。觸發(fā)器的種類很多。按時(shí)鐘控制方式分,有電位觸發(fā)、邊沿觸發(fā)、主從觸發(fā)等方式。按功能分類,有R-S型、D型、J-K型等。1.3微型計(jì)算機(jī)的邏輯電路基礎(chǔ)1.3.1觸發(fā)器1.3.2寄存器寄存器(Register)是計(jì)算機(jī)的一個(gè)重要部件,用于暫存數(shù)據(jù)、指令等。它是由觸發(fā)器和一些控制門組成的。1個(gè)觸發(fā)器就是一個(gè)1位寄存器,,由n個(gè)觸發(fā)器可以組成1個(gè)n位寄存器。

1.緩沖寄存器緩沖寄存器(Buffer)用以暫存某個(gè)數(shù)據(jù),以便在適當(dāng)?shù)臅r(shí)間節(jié)拍和給定的計(jì)算步驟將數(shù)據(jù)輸入或輸出到其它記憶元件中去。1.3.2寄存器寄存器(Regist2.移位寄存器移位寄存器(ShiftingRegister)具有數(shù)碼寄存和移位兩個(gè)功能。在移位脈沖的作用下,能將其所存儲的數(shù)據(jù)逐位向或向右移動(dòng),以達(dá)到計(jì)算機(jī)在運(yùn)行過程中所需的功能。3.計(jì)數(shù)器計(jì)數(shù)器(Counter)是計(jì)算機(jī)、數(shù)字儀表中常用的一種電路。它也是由若干個(gè)觸發(fā)器組成的寄存器,當(dāng)一個(gè)計(jì)數(shù)脈沖到達(dá)時(shí),它會按二進(jìn)制數(shù)的規(guī)律累計(jì)脈沖數(shù),使存儲在其中的數(shù)字加1。4.累加器累加器(Accumulator)也是一個(gè)由多個(gè)觸發(fā)器組成的多位寄存器,它并不進(jìn)行加法運(yùn)算,而是用以暫存每次在ALU中計(jì)算的中間結(jié)果。2.移位寄存器1.3.3三態(tài)電路由于記憶元件是由觸發(fā)器組成的,而觸發(fā)器只有兩個(gè)狀態(tài):0和1,所以每條信號傳輸線只能傳送一個(gè)觸發(fā)器的信息(0或1)。如果一條信號傳輸線即能與一個(gè)觸發(fā)器接通,也可以與其斷開而與另外一個(gè)觸發(fā)器接通,則一條信息傳輸線就可以傳輸任意多個(gè)觸發(fā)器的信息了。三態(tài)輸出電路(或稱三態(tài)門)就是為了達(dá)到這個(gè)目的而設(shè)計(jì)的。三態(tài)輸出電路的符號如圖1.12所示。當(dāng)選通端E為高電平時(shí),A的兩種可能的電平(0和1)都可以順利的通到B端去,即E=1時(shí),B=A。當(dāng)選通端E為低電平時(shí),A端與B端是不相通的,即它們之間存在著高阻狀態(tài)。1.3.3三態(tài)電路由于記憶元件是由觸發(fā)器組成的,而觸發(fā)器只1.3.4譯碼器74LS138常見的二進(jìn)制集成譯碼器有2:4譯碼器、3:8譯碼器、和4→16譯碼器。下面以3:8譯碼器為例說明譯碼器的結(jié)構(gòu)和工作原理。3:8譯碼器的輸入是3位2進(jìn)制代碼,分別用A2、A1、A0表示,有8種不同的狀態(tài)組合000、001、010……111,分別譯成Y0、Y1、Y2……Y7共8個(gè)輸出。譯碼器可以用來作多路分配器、地址譯碼器、或?qū)崿F(xiàn)邏輯函數(shù)等。1.3.4譯碼器74LS138常見的二進(jìn)制集成譯碼器有21.4微型計(jì)算機(jī)基本結(jié)構(gòu)與工作原理1.4.1微型計(jì)算機(jī)系統(tǒng)的組成計(jì)算機(jī)系統(tǒng)硬件軟件主機(jī)外部輸入輸出設(shè)備CPU存儲器運(yùn)算器控制器ROMRAM系統(tǒng)軟件應(yīng)用軟件1.4微型計(jì)算機(jī)基本結(jié)構(gòu)與工作原理1.4.1微型計(jì)算機(jī)系1.馮·諾依曼計(jì)算機(jī)馮·諾依曼結(jié)構(gòu)的基本思想計(jì)算機(jī)至少由五部分組成指令和數(shù)據(jù)均以二進(jìn)制方式存放在同一個(gè)存儲器中運(yùn)算器、控制器、存儲器、輸入設(shè)備、輸出設(shè)備1.馮·諾依曼計(jì)算機(jī)馮·諾依曼結(jié)構(gòu)的基本思想計(jì)算機(jī)至少由存儲器輸入設(shè)備輸出設(shè)備運(yùn)算器控制器數(shù)據(jù)流控制流馮·諾依曼計(jì)算機(jī)結(jié)構(gòu)

存儲器輸入設(shè)備輸出設(shè)備運(yùn)算器控制器數(shù)據(jù)流控制流馮·諾依曼計(jì)算運(yùn)算器:處理算術(shù)運(yùn)算和邏輯運(yùn)算,簡稱算邏單元(ALU)控制器:保證計(jì)算機(jī)系統(tǒng)的各個(gè)部件正確有序地執(zhí)行程序

存儲器:存儲程序和數(shù)據(jù).分為內(nèi)部存儲器和外部存儲器.輸入設(shè)備:接收用戶輸入的原始數(shù)據(jù)和程序,并將其轉(zhuǎn)換 為二進(jìn)制編碼.輸出設(shè)備:將計(jì)算機(jī)的處理結(jié)果轉(zhuǎn)換為人或其他設(shè)備可以 接收或識別的信息形式.運(yùn)算器:處理算術(shù)運(yùn)算和邏輯運(yùn)算,簡稱算邏單元(ALU)2.現(xiàn)代微型計(jì)算機(jī)系統(tǒng)⑴以存儲器為中心的計(jì)算機(jī)系統(tǒng)2.現(xiàn)代微型計(jì)算機(jī)系統(tǒng)⑴以存儲器為中心的計(jì)算機(jī)系統(tǒng)①定義②類型

連接CPU與I/O提供外設(shè)訪問內(nèi)存和CPU資源的通道

數(shù)據(jù)總線(DB):傳送程序或數(shù)據(jù)地址總線(AB):傳送內(nèi)存地址(注意:最大尋址空間的計(jì)算方法)控制總線(CB):傳送各種控制信息(2).總線①定義②類型連接CPU與I/O數(shù)據(jù)總線(DB):傳送程(3).I/O接口①定義②類型主機(jī)與外部設(shè)備互連的對接部分

串行口并行口USB口(UniversalSerialBus通用串行總線)(3).I/O接口①定義②類型主機(jī)與外部設(shè)備互連的對接部CPUCache(高速緩沖存儲器)內(nèi)部存儲器外部存儲器(軟盤、硬盤等)⑷存儲系統(tǒng)★微型計(jì)算機(jī)的三級存儲結(jié)構(gòu)CPUCache(高速緩沖存儲器)內(nèi)部存儲器外部存儲器(軟盤3.微處理器的內(nèi)部結(jié)構(gòu)與基本功能圖1.18典型微處理器

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論