先進封裝價值量提升疊加需求回暖封測產(chǎn)業(yè)鏈機遇將至_第1頁
先進封裝價值量提升疊加需求回暖封測產(chǎn)業(yè)鏈機遇將至_第2頁
先進封裝價值量提升疊加需求回暖封測產(chǎn)業(yè)鏈機遇將至_第3頁
先進封裝價值量提升疊加需求回暖封測產(chǎn)業(yè)鏈機遇將至_第4頁
先進封裝價值量提升疊加需求回暖封測產(chǎn)業(yè)鏈機遇將至_第5頁
已閱讀5頁,還剩36頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

內(nèi)容目錄一、先進封裝:后摩爾時代提升系統(tǒng)性能的關(guān)鍵路徑 5、摩爾定律放緩,先進封裝接力先進制程助力持續(xù)發(fā)展 5、先進封裝發(fā)展迅速,各路線百花齊放 7、Chiplet助力AI算力芯片持續(xù)發(fā)展 12二、行業(yè)周期:觸底持續(xù)進行,底部反轉(zhuǎn)或?qū)⒌絹?14三、海外大廠技術(shù)布局 17、臺積電 17、英特爾 19、三星 21、日月光 22、安靠 23四、投資建議 24、封測廠 24、先進封裝設(shè)備 30五、風險提示 36圖表目錄圖表1:集成電路的兩大發(fā)展路線 5圖表2:摩爾定律發(fā)展放緩 5圖表3:封裝產(chǎn)業(yè)進入先進封裝發(fā)展階段 5圖表4:封測技術(shù)發(fā)展階段及代表封裝形式 6圖表5:先進封裝具備I/0數(shù)量多、體積小和高度集成的優(yōu)勢 6圖表6:先進封裝有望助力集成電路翻越制約持續(xù)發(fā)展的四“高墻” 7圖表7:2023年全球封測市場規(guī)模將達億美元 7圖表8:2023年中國大陸封測市場規(guī)模將達億元 7圖表9:2026年全球先進封裝市場滲透率將超過50 8圖表10:中國大陸先進封裝市場滲透率較低 8圖表11:全球各先進封裝技術(shù)市場規(guī)模(億美元) 8圖表12:金凸塊工藝流程 9圖表13:銅柱凸塊工藝流程 9圖表14:銅鎳金凸塊工藝流程 9圖表15:電鍍焊錫凸塊工藝流程 9圖表16:RDL工藝流程 10圖表17:TSV工藝流程 10圖表18:先進封裝的四要素 10圖表19:倒裝封裝與傳統(tǒng)封裝對比圖 11圖表20:晶圓級封裝工藝流程 11圖表21:扇入/扇出型封裝結(jié)構(gòu)示意圖 11圖表22:2.5D/3D封裝結(jié)構(gòu)示意圖 11圖表23:系統(tǒng)級封裝具備開發(fā)周期更短、良率更高、成本更低的優(yōu)勢 12圖表24:Chiplet技術(shù)相比技術(shù)每個模塊可以采用不同的工藝 12圖表25:Chiplet提高良率和集成度,降低成本,加速芯片迭代 13圖表26:Chiplet提升芯片良率 13圖表27:HBM解決了內(nèi)存速率瓶頸的問題 13圖表28:AMDMI300剖面圖 14圖表29:封測廠業(yè)績情況與半導體銷售額擬合程度高 15圖表30:移動通信和計算機是2022年半導體最大的兩個下游應(yīng)用終端產(chǎn)品 15圖表31:2023年全球出貨量預計億臺 16圖表32:2023年全球智能手機出貨量預計億臺 16圖表33:國內(nèi)模擬芯片設(shè)計公司存貨(億元) 16圖表34:國內(nèi)數(shù)字芯片設(shè)計公司存貨(億元) 17圖表35:封測公司固定資產(chǎn)折舊占主營業(yè)務(wù)成本比例高 17圖表36:臺積電3DFabric?系列產(chǎn)品 18圖表37:臺積電CoWoS結(jié)構(gòu)示意圖 18圖表38:臺積電SoIC與CoWoS/InFO的關(guān)系 19圖表39:英特爾EMIB結(jié)構(gòu)示意圖 20圖表40:英特爾Foveros結(jié)構(gòu)示意圖 20圖表41:英特爾ODI結(jié)構(gòu)示意圖 21圖表42:三星I-CubeS結(jié)構(gòu)示意圖 21圖表43:三星I-CubeE結(jié)構(gòu)示意圖 21圖表44:三星H-Cube結(jié)構(gòu)示意圖 22圖表45:三星X-Cube結(jié)構(gòu)示意圖 22圖表46:日月光VIPack?先進封裝平臺 22圖表47:日月光FOPoP及FOCoS結(jié)構(gòu)示意圖 23圖表48:日月光FOPoP-Bridge及FOSiP結(jié)構(gòu)示意圖 23圖表49:光纖集成的演變歷程 23圖表50:安靠先進封裝技術(shù) 24圖表51:2022年海內(nèi)外主要封測廠商營收排名 25圖表52:2022年長電科技實現(xiàn)營收億元,同比增長10.69 26圖表53:2022年長電科技實現(xiàn)歸母凈利潤億元,同比增長9.20 26圖表54:通富微電產(chǎn)線詳情 26圖表55:2022年通富微電實現(xiàn)營收億元,同比增長35.52 27圖表56:2022年通富微電實現(xiàn)歸母凈利潤億元,同比下降47.53 27圖表57:華天科技五大基地主要封裝類型及應(yīng)用 27圖表58:2022年華天科技實現(xiàn)營收億元,同比下降1.58 28圖表59:2022年華天科技實現(xiàn)歸母凈利潤億元,同比下降46.74 28圖表60:甬矽電子主營產(chǎn)品及主要客戶 28圖表61:2022年甬矽電子實現(xiàn)營收億元,同比增長5.96 29圖表62:2022年甬矽電子實現(xiàn)歸母凈利潤億元,同比下降57.11 29圖表63:甬矽電子先進封裝產(chǎn)品營收拆分(百萬元) 29圖表64:2022年晶方科技實現(xiàn)營收億元,同比下降21.62 30圖表65:2022年晶方科技實現(xiàn)歸母凈利潤億元,同比下降60.45 30圖表66:國內(nèi)外先進封裝涉及前道及后道設(shè)備廠商梳理 30圖表67:2022年華海清科實現(xiàn)營收億元,同比增長104.86 31圖表68:2022年華海清科實現(xiàn)歸母凈利潤億元,同比增長152.98 31圖表69:華海清科CMP設(shè)備業(yè)務(wù)營收占比高,毛利率持續(xù)增長 31圖表70:2022年芯碁微裝實現(xiàn)營收億元,同比增長32.51 32圖表71:2022年芯碁微裝實現(xiàn)歸母凈利潤億元,同比增長28.66 32圖表72:芯碁微裝主營業(yè)務(wù)收入穩(wěn)步增長 32圖表73:2022年芯源微實現(xiàn)營收億元,同比增長67.12 33圖表74:2022年芯源微實現(xiàn)歸母凈利潤億元,同比增長158.77 33圖表75:芯源微光刻工序涂膠顯影設(shè)備營收穩(wěn)步增長,收入貢獻過半 33圖表76:2022年新益昌實現(xiàn)營收億元,同比下降1.08 34圖表77:2022年新益昌實現(xiàn)歸母凈利潤億元,同比下降11.76 34圖表78:2022年奧特維實現(xiàn)營收億元,同比下降72.94 34圖表79:2022年奧特維實現(xiàn)歸母凈利潤億元,同比增長92.25 34圖表80:2022年大族激光實現(xiàn)營收億元,同比下降8.40 35圖表81:2022年大族激光實現(xiàn)歸母凈利潤億元,同比下降39.35 35圖表82:2022年光力科技實現(xiàn)營收億元,同比增長15.89 36圖表83:2022年光力科技實現(xiàn)歸母凈利潤億元,同比下降44.56 36圖表84:2022年耐科裝備實現(xiàn)營收億元,同比增長8.19 36圖表85:2022年耐科裝備實現(xiàn)歸母凈利潤億元,同比增長7.68 36、摩爾定律放緩,先進封裝接力先進制程助力持續(xù)發(fā)展1824CMOS圖表1:集成電路的兩大發(fā)展路線 圖表2:摩爾定律發(fā)展放緩《先進封裝技術(shù)的發(fā)展與機遇》, TheNextPlatform,DIP、SIPLCC、SOPPCBBGA、CSP、FC(MEMSTSVFan-Out圖表3:封裝產(chǎn)業(yè)進入先進封裝發(fā)展階段來源:圖表4:封測技術(shù)發(fā)展階段及代表封裝形式階段封裝形式具體典型的封裝形式第一階段通孔插裝型封裝晶體管封裝(TO)、陶瓷雙列直插封裝(CDIP)、塑料雙列直插封裝(PDIP、單列直插式封裝(SIP)等第二階段表面貼裝型封裝塑料有引線片式載體封裝(PLCC、塑料四邊引線扁平封裝(PQFP)、小外形表面封裝(SOP)、無引線四邊扁平封裝(PQFN)、雙邊扁平無引腳封裝(DFN)等第三階段球柵陣列封裝(BGA)塑料焊球陣列封裝(PBGA)、陶瓷焊球陣列封裝(CBGA)、帶散熱器焊球陣列封裝(EBGA)、倒裝芯片焊球陣列封裝(FC-BGA)等晶圓級封裝(WLP)芯片級封裝(CSP)引線框架型CSP封裝、柔性插入板CSP封裝、剛性插入板CSP封裝、圓片級CSP封裝等第四階段多芯片組封裝(MCM)多層陶瓷基板(MCM-C)、多層薄膜基板(MCM-D)、多層印制板(MCM-L)等系統(tǒng)級封裝(SiP、芯片上制作凸點(Bumping)等第五階段晶圓級系統(tǒng)封裝-硅通孔(TSV、扇出型集成電路封裝(Fan-Out、三維立體封裝(3D)等艾森股份招股說明書,I/OPCBI/O圖表5:先進封裝具備I/0數(shù)量多、體積小和高度集成的優(yōu)勢傳統(tǒng)封裝先進封裝Fan-OutWLP2.5D/3D系統(tǒng)內(nèi)存寬帶低中高芯片能耗比低高高芯片厚度高低中芯片發(fā)熱中低高封裝成本低中高性能低中高形態(tài)平面、芯片之間缺乏高速互聯(lián)多芯片、異質(zhì)集成、芯片之間高速互聯(lián)功能芯片保護、電氣連接縮短互聯(lián)距離、提升功能密度、異質(zhì)異構(gòu)集成來源:集成電路發(fā)展受阻,先進封裝或為破墻首選。當前集成電路的發(fā)展面臨著“存儲墻、面積墻、功耗墻以及功能墻”四座高墻的制約。3.11.4圖表6:先進封裝有望助力集成電路翻越制約持續(xù)發(fā)展的四座“高墻”《先進封裝技術(shù)的發(fā)展與機遇》,、先進封裝發(fā)展迅速,各路線百花齊放Yole815.04.9,2026961.02022-2026CAGR4.220222995.0億元,預計到2026年市場規(guī)模有望達3248.4億元。圖表7:2023年全球封測市場規(guī)模將達822億美元 圖表8:2023年中國大陸封測市場規(guī)模將達2807億元0

全球封測市場規(guī)模(左,億元) YOY(右軸,%)5%0%

0

中國大陸封測市場規(guī)模左軸億元) YOY(右軸,%)5%-5%-15% Yole,集微咨詢, 中國半導體行業(yè)協(xié)會,集微咨詢,Yole378.02026482.0億美元年-2026年全球先進封裝市場規(guī)模CAGR為6.3先裝50統(tǒng)及集微咨詢數(shù)2020年中國大先進封裝市場規(guī)模為903億元市場占比僅為36,20231330413.8但是目前國內(nèi)先進封裝市場占比僅為39.0與全球先進封裝市場占相比仍有較大差距,有較大提升潛力。圖表9:2026年全球先進封裝市場滲透率將超過50 圖表10:中國大陸先進封裝市場滲透率較低0

全球先進封裝市場規(guī)模左軸億美) YOY(右軸,%)5%0%

0%

中國大陸先進封裝市場比 中國大陸傳統(tǒng)封裝市場比 Yole,集微咨詢, 集微咨詢,3DYole290.9億美元占比達76.7到2026年其市場規(guī)模有望增加至340.32(Fan-Out、3DStacked)3DStacked技術(shù)市場規(guī)模增長速度最快年-2026年期間的復合年增長率為22.7預計2026年市場份額將達到15.3。圖表11:全球各先進封裝技術(shù)市場規(guī)模(億美元)3D02019 2020 2021 2022 2023E 2024E 2025E 2026EYole,集微咨詢,先進封裝的四大要素推動著封裝技術(shù)向連接密集化、堆疊多樣化和功能系統(tǒng)化方向發(fā)展。1)凸塊(Bump)技術(shù)運用于倒裝封裝中,是早期先進封裝區(qū)別于傳統(tǒng)封裝的一個顯著特征。該工藝通過在晶圓或芯片表面焊接球狀或柱狀金屬凸點來實現(xiàn)界面間的電根據(jù)凸塊材料的不同,凸塊工藝可分為四類:1)濺鍍,用高速離子對金屬進行轟擊,使其表面沉積一層金屬層;(2)上膠,在晶圓表面涂一層光刻膠,再通過光模板進行曝光,浸入顯影液后膠部34銅柱凸塊工藝12)3)4)(5)去膠、6)回流,運用助焊劑對焊料進行多次回流,形成光滑的截球形凸塊。圖表12:金凸塊工藝流程 圖表13:銅柱凸塊工藝流程頎中科技官網(wǎng), 頎中科技官網(wǎng),銅鎳金凸塊工藝:工藝流程與金凸塊工藝流程相似,區(qū)別在于(1)銅鎳金凸塊的2凸塊中銅占比較高,大幅降低成本和導通電阻。錫凸塊工藝:工藝流程與銅柱凸塊工藝流程相似,區(qū)別在于(1)球體體積更大,3-5(也可以采用電鍍工藝回流形成大直徑錫球;(2)分為電鍍焊錫和植球焊錫兩類,前者尺寸更小,可用于小尺寸封裝,后者使用圖表14:銅鎳金凸塊工藝流程 圖表15:電鍍焊錫凸塊工藝流程頎中科技官網(wǎng), 頎中科技官網(wǎng),I/0RDL通過對芯片上的觸點進行重新布局和導電,改變芯片管腳的分布或?qū)⒐苣_引出到外I/ORDLPVD1(2)3)上光刻膠,曝光顯影后形成線路圖再電鍍銅墊4圖表16:RDL工藝流程 圖表17:TSV工藝流程SMT SMT2.5D/3D。TSVDRIE、CVD、PVD、CMP1)2在硅孔內(nèi)形成絕緣3運用物理氣相沉積等方法形成阻擋層和種子層4)5CMP6)使用粘合劑、金屬或氧化物實現(xiàn)多層硅芯片的堆疊和鍵合。圖表18:先進封裝的四要素來源:I/ORDLBonding)圖表19:倒裝封裝與傳統(tǒng)封裝對比圖 圖表20:晶圓級封裝工藝流程SK海力士 SemiconductorEngineeringI/OI/ORDL,因此可以連接更多引腳。相比于扇入型,扇出型封裝具有更好的5G圖表21:扇入/扇出型封裝結(jié)構(gòu)示意圖 圖22:2.5D/3D封裝結(jié)構(gòu)示意圖來源: SemiconductorEngineering2.5D/3D:2.5D3D2.5D封裝的芯片倒扣在中介層3DTSVICIC系統(tǒng)級封裝SysteminPackage,SiP:是將多種功能芯片(包括處理器、存儲器等)集onaChip,SoC)兩條技術(shù)路徑。SoCGPU、HPCSoCChipletTSVinterposer圖表23:系統(tǒng)級封裝具備開發(fā)周期更短、良率更高、成本更低的優(yōu)勢系統(tǒng)級芯片SoC系統(tǒng)級封裝SiP一個芯片就是一個系統(tǒng)集成系統(tǒng)的各個芯片與無源器件開發(fā)周期長、成本高、良率低更開發(fā)周期短、成本低、良率高多是同質(zhì)材料可集成異質(zhì)組件,如射頻器件、RLC更高密度、更高速相對SoC密度低、速度低產(chǎn)品效能較高產(chǎn)品效能較低來源:1.3、ChipletAI算力芯片持續(xù)發(fā)展ChipletChipletdie(芯片裸片)Chipletdie-to-dieSoCChipletdie圖表24:Chiplet技術(shù)相比SoC技術(shù)每個模塊可以采用不同的工藝來源:ChipletSoCChipletI/OSoC圖表25:Chiplet提高良率和集成度,降低成本,加速芯片迭代Chiplet的優(yōu)勢原因良率提高SoCChiplet個被集成的裸片的良率即可,有效降低了先進制程的研發(fā)和制造風險,提高了良率集成度提高Chiplet雜度設(shè)計成本降低Chiplet設(shè)計靈活,且可以重復使用制造成本降低Chiplet可針對不同模塊采取最合適的制程分開制造,制造成本大幅降低芯片迭代速度加快Chiplet可以分別迭代,加塊芯片迭代速度來源:圖表26:Chiplet提升芯片良率來源:唯芯派,HBMHBM(HighBandwidthMemory)(TSVDRAMHBMDRAMHBMDRAM3DHBMAIInterposer2.5D封裝。圖表27:HBM解決了內(nèi)存速率瓶頸的問題Hardzone堆疊子模塊,提升計算性能ChipletdieAMD6MI3001395nm(6CCD46nmI/OdieInfinityCach(interposer8128GBHBM3圖表28:AMDMI300剖面圖HighYield,助力國產(chǎn)半導體廠商突破海外制裁Chiplet202014nm14nm1)Chiplet“14nm2)ChipletCPU、GPU14nm3)Chiplet14nm14nmChipletIC圖表29:封測廠業(yè)績情況與半導體銷售額擬合程度高30Gartner202312023PC、智能手機2.6813.420226.5、-4.0。圖表30:移動通信和計算機是2022年半導體最大的兩個下游應(yīng)用終端產(chǎn)品移動通信 計算機 汽車 消費電子 工業(yè) 政府14%30%14%14%30%14%14%26%SIA圖表31:2023年全球PC出貨量預計2.68億臺 圖表32:2023年全球智能手機出貨量預計13.4億臺

全球出貨量(百萬臺,左軸) YoY(%,右)16150% 14-10% 13

全球智能手機出貨量(臺,軸) YoY(%,右)5%0%-5%-10%0 -20% 12

-15% Gartner, Gartner,國內(nèi)芯片設(shè)計公司整體庫存維持在較高水位,部分公司庫存拐點已現(xiàn)。受供需雙重作用,2021-2022Q3202022Q4-23Q1繼續(xù)改善。圖表33:國內(nèi)模擬芯片設(shè)計公司存貨(億元)卓勝微 圣邦股份 納芯微 翱捷科技 思瑞浦 唯捷創(chuàng)匯頂科技 杰華特 南芯科技 電科芯片 艾為電子 上海貝1684019Q119Q219Q319Q420Q120Q220Q320Q421Q121Q221Q321Q422Q122Q222Q322Q423Q1,圖表34:國內(nèi)數(shù)字芯片設(shè)計公司存貨(億元)紫光國微 兆易創(chuàng)新 北京君正 格科微 佰維存儲 江波復旦微電 晶晨股份 瑞芯微 思特威 國科微 寒武403010019Q119Q219Q319Q420Q120Q220Q320Q421Q121Q221Q321Q422Q122Q222Q322Q423Q1,游需求好轉(zhuǎn),渠道庫存下降,利潤增長的彈性較大。圖表35:封測公司固定資產(chǎn)折舊占主營業(yè)務(wù)成本比例高25%

2018 2019 2020 2021 20225%0%長電科技

通富微電

華天科技

甬矽電子725AI、臺積電20082020年宣布推出3DFabric?3DFabric?包括前段系統(tǒng)整合芯片(SoIC)(CoWoS)(InFO。圖表36:臺積電3DFabric?系列產(chǎn)品來源:臺積電官網(wǎng),CoWoS(ChiponWaferonSubstrate)20112.5DCoWoS-S、CoWoS-RCoWoS-LCoWoS-SCoWoSCoWCoWoS-RRDL(HBMSoCRDLC4CoWoS-LCoWoS-SInFOLSI(局部硅互連)RDL圖表37:臺積電CoWoS結(jié)構(gòu)示意圖來源SemiwikiInFO(IntegratedFan-Out)InFO_oS、InFO_PoPInFO_LSI。InFO_oSCoWoS-LRDLRDLHPC5GInFO_PoPFOWLPPoPTIV(ThroughInFOVia)3DFC_PoPInFO_PoPInFO_LSIInFO_oSLSIHPCSoIC(SystemofIntegratedChips)3D(ChiponWoW(WaferonWaferCoWWoW3DSoCSoCSoIC3DCoWoSInFOSoICSoCCoWoSInFO圖表38:臺積電SoIC與CoWoS/InFO的關(guān)系臺積電官網(wǎng),、英特爾EMIBFoverosCo-EMIBEMIBFoverosCoWoSEMIB(EmbeddedMulti-DieInterconnectBridge)2.5D2.5DBridge)面積小、成本低,可以提供更高的帶寬和更低的功耗,EMIB圖表39:英特爾EMIB結(jié)構(gòu)示意圖Cool3CFoveros3DFoveros(F2F)TSVEMIBFoveros圖表40:英特爾Foveros結(jié)構(gòu)示意圖SemiwikiCo-EMIBODIFoverosFoverosDirectionalInterconnect)技術(shù)主要有兩種類型,Type1EMIBODI2Foveros,底層芯片完TSV圖表41:英特爾ODI結(jié)構(gòu)示意圖Wikichip、三星CoWoSI-Cube、H-CubeX-Cube1)I-Cube(Interposer2.5DTSVBEOLI-CubeSI-CubeECoWoS-SCoWoS-R。I-CubeSHBMTSVPCBI-CubeETSVRDL圖表42:三星I-CubeS結(jié)構(gòu)示意圖 圖43:三星I-CubeE結(jié)構(gòu)示意圖來源:三星官網(wǎng) 來源:三星官網(wǎng)2)H-Cube(HybridSubstrateCube)2.5DAB(AjinomotoBuild-upFilm)(HighDensityInterconnection,HDI)2.5D圖表44:三星H-Cube結(jié)構(gòu)示意圖 圖表45:三星X-Cube結(jié)構(gòu)示意圖來源:三星官網(wǎng) 來源:三星官網(wǎng)3)X-Cube(eXtendedCube)3D2024ZSoICCopperBonding)兩種技術(shù),后者可以提供更高的芯片布局靈活性和更高的堆棧芯片密度,具備高帶寬和低能耗等優(yōu)勢。、日月光2022VIPack(RDL)制程、嵌入式整合以及2.5D/3D圖表46:日月光VIPack?先進封裝平臺來源:日月光官網(wǎng)FOPoP(Fan-OutPackageonPackage)RDLRDLPoP)等領(lǐng)域。FOCoS(Fan-OutChiponSubstrate)ASICRDL(沒有微凸塊BGA圖表47:日月光FOPoP及FOCoS結(jié)構(gòu)示意圖 圖48:日月光FOPoP-Bridge及FOSiP結(jié)構(gòu)示意圖來源:日月光官網(wǎng) 來源:日月光官網(wǎng)FOCoS-Bridge(Fan-OutChiponSubstrate2.5DASICHBMRDLFOSiP(Fan-OutSysteminPackage)RDLRDLSMTFOSiP5)2.5D/3DGPU、CPU、HBMTSVGPU、5G、人工智能、顯示驅(qū)動等領(lǐng)域。6)CPO(Co-packagedOptics)ASICCMOS圖表49:光纖集成的演變歷程來源:日月光官網(wǎng)、安靠安靠作為第二大封測龍頭廠商,一直致力于開發(fā)包括硅通孔、穿塑通孔、銅柱、銅混合鍵WLCSP、WLFO、WL3D、DSMBGA、AiP/AoP六大先進封裝技術(shù)。SWIFT/HDFODFORDLTSVWLFOS-SWIFT4RDL,1324圖表50:安靠先進封裝技術(shù)技術(shù)示意圖應(yīng)用晶圓級芯片尺寸封裝WLCSP高端RF、FPGA、電源管理、閃存/EEPROM、集成無源網(wǎng)絡(luò)、汽車等晶圓級扇出型封裝WLFO通信、計算機、醫(yī)療、汽車等晶圓級3D堆疊封裝WL3D移動消費品、基帶、RF/無線、模擬、M2M通信、物聯(lián)網(wǎng)(IoT)等雙面模塑封裝DSMBGARF、可穿戴設(shè)備、汽車、計算機等封裝內(nèi)/上天線AiP/AoP移動消費品、汽車、航空航天等硅晶圓集成扇出型封裝SWIFT/HDFOCPU/GPU、FPGA、移動AP/BB等來源:安靠官網(wǎng)、封測廠建議積極關(guān)注布局先進封裝的長電科技、通富微電、華天科技、甬矽電子以及晶方科技。2022,20222022圖表51:2022年海內(nèi)外主要封測廠商營收排名營收排名公司名稱國家地區(qū)營收(M$)營收增長凈利潤(M$)毛利率研發(fā)營收比1日月光中國臺灣12325.02.91951.028.45.62安靠美國7091.615.5765.818.82.13長電科技中國大陸4847.75.4463.917.13.94通富微電中國大陸3076.828.867.313.96.25力成中國臺灣2752.7-7.1283.520.82.96華天科技中國大陸1709.5-5.9146.816.95.97京元電子中國臺灣11209.51.1223.135.63.48頎邦科技中國臺灣822.8-17.4202.632.73.29南茂科技中國臺灣786.6-20.0119.821.04.910HANA韓國690.019.6—19.33.622甬矽電子中國大陸312.61.419.722.05.6,長電科技:封測龍頭公司,先進封裝打開成長空間197220162022OSAT(、20231XDFOIChiplet4nm1500mm2、公司產(chǎn)品主要下游應(yīng)用領(lǐng)域包括通信、汽車電子、高性能計算和存儲等領(lǐng)域。FCBGA12x12mm到77.5x77.5mmFCBGA20225GRF產(chǎn)品和測試解決方案,WiFi-6eRFFEADASADASFO-MCM,該技術(shù)(以上,江陰廠區(qū)可滿足客戶從中道封測到系統(tǒng)集成及測試的一站式服務(wù)。DRAM、Flash20NANDFlash35μmHybrid20222022337.6210.6932.319.20于汽車電子、5G圖表52:2022年長電科技實現(xiàn)營收337.62億元,同比增長10.69營業(yè)收入(億元,左軸) YoY(%,右軸)

圖表53:2022年長電科技實現(xiàn)歸母凈利潤32.31億元,同比增長9.20歸母凈利潤(億元,左軸) YoY(%,右軸)0

30%20%10%0%-10%-20%

403020100-10-20

1600%1200%800%400%0%-400%-800%通富微電:營收增長迅速,先進封裝實力強勁公司是全球第五大,國內(nèi)第二大封測廠商。據(jù)芯思想研究院發(fā)布的2022年全球委外封測20222.5D/3D圖表54:通富微電產(chǎn)線詳情基地持股比例主要封裝類型產(chǎn)品應(yīng)用2022年收入2022年利潤崇川本部母公司中高端產(chǎn)品為主:FCLGA、QFN、bumping、WLCSP、FCCSP、BGAs、SIP汽車電子以及功率模塊68.38億元2.39億元蘇州85先進封裝為主:FCBGA、FCPGA、FCLGA、MCM、ChipletCPU、GPU、APU、游戲機芯片等69.57億元3.78億元檳城8574.28億元2.89億元南通通富(蘇通)100高端產(chǎn)品為主:BGAs、LGAs、FCBGA、QFN、SIP手機終端、5G通訊領(lǐng)域17.25億元-1.01億元合肥通富100超高密度框架為主:SOP、寬排SOT/SC70/MSOP存儲器、顯示驅(qū)動8.63億元-1.26億元通富通科78PDFN、TO等功率器件0.33億元-0.58億元通富微電公告,AMDAMDAMD802022214.295.0247.53圖表55:2022214.29增長35.52營業(yè)收入(億元,左軸) YoY(%,右軸)

圖表56:2022年通富微電實現(xiàn)歸母凈利潤5.02億元,同比下降47.53歸母凈利潤(億元,左軸) YoY(%,右軸)500

50% 1040% 830% 620% 410% 20% 0

2000%1500%1000%500%0%-500% , ,華天科技:積極布局先進封裝,下行周期業(yè)績承壓DIP/SOP、QFP、QFN、FCQFN、SOT、DFN;WBBGA/LGA、FCCSP/FCLGA、FCBGA、SiP;WLPTSVBumping圖表57:華天科技五大基地主要封裝類型及應(yīng)用基地主要封裝類型規(guī)劃投資金額與進展應(yīng)用天水引線框封裝為主:DIP/SIP/SOP/MSOP/SSOP/VSOP/SSOP/TSSOP/SOT/QFP/LQFP/TQFP目前總投資58億元,占地500畝,擁有凈化廠房10萬+㎡驅(qū)動電路、電源管理、藍牙、MCU、NORFlash、電表電路等西安引線框封裝為主:QFN/DFN目前總投資53億元,占地162畝,擁有凈化廠房8萬+㎡射頻、MEMS、存儲器、指紋產(chǎn)品、TWS、汽車電子、MCU、電源管理等昆山TSV/WLCSP/FAN-OUT/ESINC;倒裝封裝包括FCSOT/FCDFN/FCQFNCOPPERPILLARBUMPING目前總投資32億元,占地110畝,擁有凈化廠房4萬+㎡CMOS、Sensor、WLC攝像模組、CSP攝像模組、FPC、鏡頭、lens、VCM、Holder等南京FBGA/LGA;倒裝封裝包括FCCSP/FCLGA/ED-FCCSP/SiP目前總投資80億元,占地500畝,擁有凈化廠房5.7萬+㎡存儲器、MEMS、人工智能等UnisemBumping/SiP/FC/MEMS射頻、汽車電子等華天科技官網(wǎng),2022119.061.58;7.5446.74。景氣度低迷的情況延22.39元,同比下降151.43。圖表58:2022年華天科技實現(xiàn)營收119.06億元,同比下降1.58營業(yè)收入(億元,左軸) YoY(%,右軸)

圖表59:2022年華天科技實現(xiàn)歸母凈利潤7.54億元,同比下降46.74歸母凈利潤(億元,左軸) YoY(%,右軸)806040200

50% 141225% 1080% 642-25% 0-2

200%100%0%-100%-200%, ,甬矽電子:封測行業(yè)新秀,聚焦先進封裝度細間距凸點倒裝產(chǎn)品(FC類產(chǎn)品、系統(tǒng)級封裝產(chǎn)品(SiP、扁平無引腳封裝產(chǎn)品APSoCWiFiMCUQFN/DFNWB-LGAWB-BGAHybrid-BGAFC-LGAFC、SIP、QFN/DFN圖表60:甬矽電子主營產(chǎn)品及主要客戶產(chǎn)品類型客戶應(yīng)用系統(tǒng)級封裝產(chǎn)品Hybrid-BGA、Hybrid-LGA、WB-BGA、WB-LGA唯捷創(chuàng)芯、翱捷科技、晶晨股份、深圳飛驤、聯(lián)發(fā)科、星晨科技射頻模塊、CAT1智能機頂盒、智能電視、智能家居等物聯(lián)網(wǎng)用芯片、射頻模塊、電源管理芯SoCAPSoC扁平無引腳封裝產(chǎn)品QFN/DFN星晨科技、聯(lián)發(fā)科、富瀚微、北京君正、恒玄科技視訊影像芯片、電源管理、視訊控制所用芯片、藍牙/WIFI芯片高密度細間距凸點倒裝產(chǎn)品BTC-LGA、FC-LGA、FC-CSP宜芯微電子、昂瑞微、展訊通信、深圳飛驤、晶晨股份數(shù)字貨幣礦機、2G/3GPASoC微機電系統(tǒng)傳感器MEMS鑫創(chuàng)科技傳感器、麥克風聲音和降噪、心率監(jiān)測、生物識別、消防安全等甬矽電子招股說明書,202221.775.96歸母凈利潤1.38億元同比下降57.11景氣度低迷的情況延續(xù)到23Q1,23Q1公司實現(xiàn)營業(yè)收入4.25億元,同比下降26.85;歸母凈利潤虧損0.50億元,同比下降170.04。圖表61:202221.77億元,同比增長5.96營業(yè)收入(億元,左軸) YoY(%,右軸)

62:20221.38億元,同比下降57.11歸母凈利潤(億元,左軸) YoY(%,右軸)25201510502018 2019 2020 2021 2022

900%700%500%300%100%-100%

3.52.51.50.5-0.5

1300%2018 2019 2020 2021 20222018 2019 2020 2021 2022700%400%100%-200%, ,SIP、QFN/DFNSIP12.2556.28,24.1;QFN20226.3229.0212.0;FC20222.9213.4231.5。圖表63:甬矽電子先進封裝產(chǎn)品營收拆分(百萬元)系統(tǒng)級封裝產(chǎn)品 扁平無引腳封裝產(chǎn)品 高密度細間距凸點倒裝品 微機電系統(tǒng)傳感器 其他2,5002,0001,5001,00002019 2020 2021 2022,晶方科技:大陸晶圓級封測龍頭812技術(shù)的規(guī)CISTOFMEMS3D20222022年實現(xiàn)營收11.06億元同比下降21.62實現(xiàn)歸母凈利潤2.28億元同比下降60.452023年實現(xiàn)營收2.23億元,同比下降26.85;實現(xiàn)歸母凈0.29億元,同比下降68.92。圖表64:2022年晶方科技實現(xiàn)營收11.06億元,同比下降21.62營業(yè)收入(億元,左軸) YoY(%,右軸)

65:2022年晶方科技實現(xiàn)歸母凈利潤2.28億元,同比下降60.45歸母凈利潤(億元,左軸) YoY(%,右軸)1510502018 2019 2020 2021 2022

100%80%60%40%20%0%-20%-40%

765432102018 2019 2020 2021 2022

300%250%200%150%100%50%0%-50%-100%、先進封裝設(shè)備先進封裝所需半導體設(shè)備涉及前道設(shè)備(刻蝕機、光刻機、PVD/CVD、涂膠顯影設(shè)備、清洗設(shè)備等(圖表66:國內(nèi)外先進封裝涉及前道及后道設(shè)備廠商梳理工序設(shè)備國際廠商國內(nèi)廠商前道刻蝕機泛林、應(yīng)用材料、東京電子北方華創(chuàng)、中微半導體、北京屹唐光刻機阿斯麥、尼康、佳能上海微電子、芯碁微裝PVD/CVD泛林、應(yīng)用材料、東京電子、科意半導體、先晶半導體北方華創(chuàng)、拓荊科技、盛美上海清洗設(shè)備泛林、東京電子、迪恩士、細美事盛美上海、至純科技、芯源微涂膠顯影東京電子、細美事芯源微、盛美上海后道圓片減薄機Disco、東京精密、岡本工機中電科、華海清科、蘭新高科、深圳方達劃片機Disco、東京精密、JPSA、Synova大族激光、德龍激光、中電科、蘭新高科、匯盛電子、江蘇京創(chuàng)、光力科技固晶機K&S、ASM、BESI新益昌、艾克瑞思、東莞普萊信引線鍵合機K&S、ASM、BESI、Shinkawa中電科、奧特維、新益昌、北京創(chuàng)世杰塑封機TOWA、BESI、Yamada、ASM耐科裝備來源:華海清科:國產(chǎn)CMP設(shè)備龍頭CMP2013201412CMPCMP12CMP圖表67:202216.49長104.86營業(yè)收入(億元,左軸) YoY(%,右軸)

68:20225.02億元,同比增長15298歸母凈利潤(億元,左軸) YoY(%,右軸)18151296302018 2019 2020 2021 2022

500% 65400%4300% 3200% 21100% 00% -1

200%2018 2019 2020 2021 20222018 2019 2020 2021 20222023Q1-200%-400%Wind, ,2018CMP16.49,20182022CAGR16002152.98CMP202214.312019-2022,CMP30.1647.65,盈利能力顯著提升。圖表69:華海清科CMP設(shè)備業(yè)務(wù)營收占比高,毛利率持續(xù)增長設(shè)備(億元,左軸) 其他業(yè)務(wù)(億元,左軸)設(shè)備毛利率(%,右軸) 其他業(yè)務(wù)毛利率右軸)18 15 12 9 6 3 0 0%2019 2020 2021 2022芯碁微裝:深耕直寫光刻設(shè)備,泛半導體業(yè)務(wù)助力成長PCB直接曝光設(shè)備領(lǐng)PCBIC20226.52-2022年營收CAGR達65.46,2023年Q1實現(xiàn)營收1.57億元,同比增長50.29;PCB業(yè)務(wù)和泛半導體業(yè)務(wù)2022年分別實現(xiàn)營收5.27億元和0.96億元,占比80.78和37.9065.0820222023年0.3428.6670.32。圖表70:20226.52億元,同比增長32.51營業(yè)收入(億元,左軸) YoY(%,右軸)

71:20221.37億元,同比增長28.66歸母凈利潤(億元,左軸) YoY(%,右軸)765432102018 2019 2020 2021 2022

150%100%50%0%

0

2018 2019 2020 2021 2022

200%150%100%50%0%, ,圖表72:芯碁微裝主營業(yè)務(wù)收入穩(wěn)步增長收入(左軸,億元) 泛半導體收入(左軸,元毛利率(%) 泛半導體毛利率右軸)7654321

0 0%2018 2019 2020 2021 2022 芯源微:涂膠顯影機打破國際壟斷,國內(nèi)市場空間廣闊2022日本東京電子市占率達1而公司市占率僅為5大陸其他廠商市占率合計4公司涂膠顯影業(yè)務(wù)起步較早技術(shù)處于國內(nèi)領(lǐng)先的地位主要產(chǎn)品有光刻工序涂膠顯影設(shè)備(涂膠顯影機、噴膠機)和單片式濕法設(shè)備(清洗機、去膠1286202213.8567.12,2018-2022CAGR60.25;20222.00158.77,2018-2022CAGR60.69。作為公司的主營業(yè)務(wù),光工序涂膠顯影設(shè)備營收規(guī)模穩(wěn)步增長,20227.572018-2022CAGR55.64,50以上。圖表73:202213.85億元,同比增長67.12營業(yè)收入(億元,左軸) YoY(%,右軸)

74:20222.00億元,同比增長158.77歸母凈利潤(億元,左軸) YoY(%,右軸)16 160%

2.5

180%12 120% 2

140%8 4

1

100%0 0%2018 2019 2020 2021 20222023Q1

02018 2019 2020 2021 2022

-20%, ,圖表75:芯源微光刻工序涂膠顯影設(shè)備營收穩(wěn)步增長,收入貢獻過半收入(億元,左軸) 業(yè)務(wù)收入比例(%,軸)8765432102018 2019 2020 2021

0%新益昌:固晶設(shè)備龍頭,LED及半導體共同驅(qū)動業(yè)績成長LED2006MiniLED受行業(yè)周期影響,公司業(yè)績承壓。202211.842.0511.76LED2022LED9.0976.78,45.052.2418.92,36.20。圖表76:202211.84億元,同比下降1.08營業(yè)收入(億元,左軸) YoY(%,右軸)

77:20222.05億元,同比下降11.76歸母凈利潤(億元,左軸) YoY(%,右軸)141210864202018 2019 2020 2021 2022

70%50%30%10%-10%

3221102018 2019 2020 2021 2022

130%100%-20%Wind, ,奧特維:光伏串焊機領(lǐng)軍者,多維布局半導體封測設(shè)備2013鋰電設(shè)備:圓柱電芯外觀檢測、動力(儲能)P

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論