計算機課程設計報告_第1頁
計算機課程設計報告_第2頁
計算機課程設計報告_第3頁
計算機課程設計報告_第4頁
計算機課程設計報告_第5頁
已閱讀5頁,還剩11頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領

文檔簡介

一、 實驗目的:(1) 通過微程序的編制、裝入、執(zhí)行,驗證微程序控制器控制的工作方法。觀察微程序的運行過程,為進行簡單模型計算機實驗做準備。(2) 通過實驗分析簡單模型機結(jié)構(gòu),了解計算機工作原理。掌握計算機微程序控制器的控制方法,掌握計算機指令執(zhí)行過程。(3) 深入了解計算機各種指令的執(zhí)行過程,以及控制器的組成,指令系統(tǒng)微程序設計的具體知識,通過在簡單模型計算機基礎上設計新的5條機器指令,以提高學生對計算機機器指令理解,鍛煉學生自己動手設計模型計算機機器指令的能力。二、 實驗說明:要進行這項大型實驗,必須清楚地懂得:(1)模型機的功能部件及其連接關系;(2)模型機每個功能部件的功能與具體組成;(3)模型機支持的指令格式;(4)模型機的微指令格式;(5)已實現(xiàn)的典型指令的執(zhí)行實例,即相應的微指令與其執(zhí)行次序的安排與銜接;三、 實驗內(nèi)容:(1)完成總線數(shù)據(jù)傳輸控制實驗。(2)完成簡單模型計算機實驗。(3)完成機器指令設計實驗??蛇x擇其中一項任務任務之一:在模型機上實現(xiàn)以下功能:a) 每次輸入2個數(shù),將這2個數(shù)相加,其和依次存入存儲器地址為20H開始的3個單元,并送LED顯示輸出,以上操作循環(huán)執(zhí)行3次后停機。b) 其中:設R0為循環(huán)計數(shù)器、R1為累加器、R2為變址寄存器,Ri就是R2c) INPUTDEVICE和OUTPUTDEVICE的端口地址皆為00H。任務之二:在模型機上實現(xiàn)以下功能:對輸入開關上的數(shù)據(jù)和存儲器某一單元中的數(shù)據(jù)進行加法操作,結(jié)果累計在存儲器某一單元中,當累計值大于256時轉(zhuǎn)而進行減法操作,即把此存儲器單元中的值減去輸入開關上的數(shù)據(jù),結(jié)果送同一存儲器單元,當操作結(jié)果小于0時再轉(zhuǎn)而進行加法操作,使用顯示燈上出現(xiàn)數(shù)據(jù)連續(xù)加,然后連續(xù)減,減到0時再連續(xù)加。這樣連續(xù)加民、減直到拔動CLR結(jié)束程序運行為止。任務之三:1、 分析手動裝入程序代碼時,為什么必須要在微地址顯示燈顯示“”時,才從開關上置入指令代碼?同時,在手動校驗時,為什么只有當微地址顯示燈顯示“”時,發(fā)光管上顯示的內(nèi)容才是內(nèi)存的數(shù)據(jù)?2、 若將OUT指令的操作碼改為0101,則微程序必須做什么樣的修改?3、 在微程序流程圖上,最多還可以添加幾條機器指令?四、實驗要求:1) 根據(jù)實驗內(nèi)容完成各指導書中的實驗數(shù)據(jù)的結(jié)果、分析和總結(jié)。2) 要求自行設計相關指令微程序;(務必利用非上機時間設計好微程序)3) 設計測試程序、實驗數(shù)據(jù)并上機調(diào)試。(4)設計報告內(nèi)容:包括1)、設計目的;2)、實驗內(nèi)容和與之相關的邏輯結(jié)構(gòu)圖(可參考表1-8、9;表2-1;表2-15;表2-16、17、18;表2-21);和(圖2-15、3-1)。3)、微程序設計(含指令格式、功能、設計及微程序)4)、實驗數(shù)據(jù)(測試所設計指令的程序及結(jié)果)。(5)大型實驗報告必須打印成冊,備注:1.各班每2人一組,可自由組合但要固定,各班班長將各組組號及學生名單于第一次上機時上報指導教師;2.各班學生須嚴格按照規(guī)定的時間上機,不得無故缺席、遲到早退,指導教師會嚴格考勤。計算機組成原理實驗報告一、實驗目的:通過微程序的編制、裝入、執(zhí)行,驗證微程序控制器控制的工作方法。觀察微程序的運行過程,為進行簡單模型計算機實驗做準備。通過實驗分析簡單模型機結(jié)構(gòu),了解計算機工作原理。掌握計算機微程序控制器的控制方法,掌握計算機指令執(zhí)行過程。深入了解計算機各種指令的執(zhí)行過程,以及控制器的組成,指令系統(tǒng)微程序設計的具體知識,通過在簡單模型計算機基礎上設計新的5條機器指令,以提高學生對計算機機器指令理解,鍛煉學生自己動手設計模型計算機機器指令的能力。二、實驗內(nèi)容和與之相關的邏輯結(jié)構(gòu)分析圖(1) 完成總線數(shù)據(jù)傳輸控制實驗。(2) 完成簡單模型計算機實驗。(3) 完成機器指令設計實驗。三、程序設計(含指令格式、功能、設計及微程序)(1)無借位減。指令助記符:SUBDR,[ADDR]。SUB:無借位減記憶符DR:通用寄存器號(R3、R2、Rl、R0之一)ADDR:存儲器直接地址,范圍為OOH-FFH指令格式:二字節(jié)指令I7I6I5I4I3I2I1I0110101DRADDR指令第一字節(jié)的I7,I6=ll約定值,I5,I4,I3,I2=0l0l指令編號,編排在實驗八已有的5條指令之后。指令功能:(ADDR)-(DR)-DR,影響Cy,Zi標志位。指令功能說明:存儲器直接地址ADDR中內(nèi)容減去寄存器SR中的內(nèi)容,進位標志Cy不參加運算,結(jié)果送寄存器$只,當(ADDR)小于(DR)時,Cy=1,當(SR)等于(DR)時,Zi=l。微指令執(zhí)行流程圖35H

DA1-DA2—DR功能解釋:第一操作數(shù)減第二操作數(shù)送通用寄存器24位微碼:10000111110 87D801(2)帶進位加。助記符:ADDCDR,[ADDR]。指令格式:二字節(jié)指令I7I6I5I4I3I2I1I0110110DRADDR指令第一字節(jié)的I7,16=11約定值,I5,I4,I3,12=0110指令編號,排在無借位減之后。指令功能:(SR)+(DR)+Cy-DR,影響Cy,Zi標志位。指令功能說明:存儲器直接地址ADDR中內(nèi)容加上寄存器SR中的內(nèi)容再加上進位標志Cy,結(jié)果送寄存器SR,當(SR)+(DR)+Cy值大于255時,Cy=1,等于255時,Zi=1。用這條指令可實現(xiàn)多字節(jié)的加法操作。微指令流程圖36HPC—AR,PC+lfPC功能解釋:指令計數(shù)器內(nèi)容送地址寄存器,指令計數(shù)器加124位微碼:11011100010 DC4041J41HRAM—AR功能解釋:存儲器內(nèi)容(指令第二字節(jié))送地址寄存器24位微碼:11000001000 C100421r42HRAM—DA1功能解釋:存儲器內(nèi)容送第一操作數(shù)寄存器24位微碼:001000010001r43HDR—DA2功能解釋:通用寄存器內(nèi)容送第二操作數(shù)寄存器24位微碼:01010000000r44HDA1+DA2+Cy—DR功能解釋:第一操作數(shù)加上第二操作數(shù)再加上Cy送通用寄存器24位微碼:100001110103)C條件轉(zhuǎn)移。助記符:JMPC[ADDR]。指令格式:二字節(jié)指令I7I6I5I4I3I2I1I011011100ADDR指令第一字節(jié)的I7,16=11約定值,I5,I4,I3,12=0111指令編號,排在ADDC之后。指令功能:若Cy=1,則ADDR—PC,影響Cy、Zi標志位。指令功能說明:當Cy=1時,ADDR中內(nèi)容送指令計數(shù)器,否則順序執(zhí)行下條指令。微指令流程圖37HPC—AR,PC+1fPC功能解釋:指令計數(shù)器內(nèi)容送地址寄存器,指令計數(shù)器加124位微碼:11011100010 DC4046P6HRAM—DA1功能解釋:存儲器內(nèi)容送第一操作數(shù)寄存器24位微碼:00100001000 ,)47HJ4#條件散轉(zhuǎn)轉(zhuǎn)移,下地址為10H(箭頭處為散轉(zhuǎn)地址)24位微碼:00000010010DA1—PC |功能解釋:若Cy=1,第一操作數(shù)送計數(shù)器50H24位微碼:0000000000051H24位微碼:0000000000052H24位微碼:11100100010 E44E0153H24位微碼:11100100010 E44E01寄存器內(nèi)容+1。助記符:INCDR。指令格式:二字節(jié)指令I7I6I5I4I3I2I1I0111000DR指令第一字節(jié)的17,16=11約定值,15,14,13,12=1000指令編號,編排在JMPC之后。指令功能:[DR]+1—PC,不影響Cy、Zi標志位。指令功能說明:把指令DR指示的通用寄存器內(nèi)容加1后送回同一寄存器中。微指令流程圖38HPC—AR,PC+1fPC功能解釋:指令計數(shù)器內(nèi)容送地址寄存器,指令計數(shù)器加124位微碼:11011100010 DC4048[48HDR—DA1功能解釋:存儲器內(nèi)容送第一操作數(shù)寄存器24位微碼:001100000049HDA1+—DR功能解釋:第一操作數(shù)加1送通用寄存器24位微碼:10000111110 87C001(5)存儲器內(nèi)容送通用寄存器。助記符:LDADR,[ADDR]。指令格式:二字節(jié)指令I7I6I5I4I3I2I1I0111001DRADDR指令第一字節(jié)的17,16=11約定值,15,14,13,12=1001指令編號,編排在INC之后。指令功能:(ADDR)—DR,不影響Cy、Zi標志位。指令功能說明:存儲器直接地址ADDR中內(nèi)容送通用寄存器DR。微指令流程圖39HPC—AR,PC+1fPC功能解釋:指令計數(shù)器內(nèi)容送地址寄存器,指令計數(shù)器加124位微碼:11011100010 DC404A4AH

RAM—AR功能解釋:存儲器內(nèi)容(指令第二字節(jié))送地址寄存器24位微碼:11000001000 C1004B4BHRAM—DA1功能解釋:存儲器內(nèi)容送第一操作數(shù)寄存器24位微碼:00100001000 21004C+4CHDA1-DR |功能解釋:第一操作數(shù)送通用寄存器24位微碼:10000111100 87C101(6)總程序。①流程圖:②實驗用機器指令匯編程序:主存地址機器指令碼微程序入口地址一條機器指令注釋00C030INR0,[PORTAR]送一個外部數(shù)據(jù)到R0010000表示輸入端口號為0002C832STAR0,[30]將[30H]中的數(shù)送R0內(nèi)存中,實現(xiàn)清零.033030表示[30H]內(nèi)存04C130L1: INR1,[PORTAR]送一個外部數(shù)據(jù)到R1050000表示輸入端口號為0006D936ADDCR1,[30H]帶進位加?若CY=1表示大于

256=28-1.實現(xiàn)[30H]+R1+Cy=R1073030表示[30H]內(nèi)存08C932STA[30],R1將R1中的數(shù)送[30H]內(nèi)存中093030表示[30H]內(nèi)存0ACC33OUT[30H],[PORTAR]輸出30H里面的內(nèi)容0B3030直接地址=30H0C0000端口地址PORTAR=00H0DDC37JMPC11H帶進位跳轉(zhuǎn)命令,判斷30H是否為CY=1。若CY=1成立,跳轉(zhuǎn)到L2,否則,順序執(zhí)行。0E110E表示[L2H]為0EH內(nèi)存單元OFD034JMP[L1H][L1H]單元中的內(nèi)容送PC,程序按新的PC指示的地址進行。1004[L1H]=04H表示[L1H]為04H內(nèi)存單元11C230L2: INR2,[PORTAR]送數(shù)到R2120000表示輸入端口號為0013D635SUBR2,[30H][30H]中的內(nèi)容減去R1中的內(nèi)容,進位標志Cy不參加運算,結(jié)果送R2,當[30H]中的內(nèi)容小于R2時,Cy=1;當它們相等時,Zi=0。143030表示[30H]內(nèi)存15CA32STA[30H],R2將R2中的數(shù)送[30H]內(nèi)存中163030表示[30H]內(nèi)存17CC33OUT[30H],[PORTAR]輸出30H里面的內(nèi)容183030直接地址=30H190000端口地址PORTAR=00H1ADC37JMPC[L1H]帶進位跳轉(zhuǎn)命令,判斷30H是否為CY=1。若CY=1成立,跳轉(zhuǎn)到L1,否則,順序執(zhí)行。1B04[L1H]=04H表示[L1H]為04H內(nèi)存單元1CD034JMP[L2H][L2H]單元中的內(nèi)容送PC,程序按新的PC指示的地址進行。1D11[L2H]=0E表示[L2H]為0EH內(nèi)存單元四、實驗數(shù)據(jù)(測試所設計指令的程序及結(jié)果)。微代碼:IKS X刪陰住讀肛他寫入除反匯黠H斷點徽岀址微代碼BTOorsFUHCFSS3SZS1so\1CiB下址微指轡注釋QOK01CLO8IXIOODODll]0O00DO0DQOiaOD匚二二二二二海二二二二二二蔦01HDC4OO2no111DOO1000000000000IDpr->AR,Fr=pc+1QZIiE.iomaOILODODIOlj0UU0DUUDOODQ11ewi-zbQ3HCDC010OOOODO001]0000D00DOIDQODj(D04HCl0035110ODODILIljD000D00OOODlOlRWI->AEQ5K820CO1100ODO10O00000D00DQODaOl06KCldLOI11UODODIOljD00uD00DOOD11107H41002001fl□DODIO0D000□00DIODaODEOM-汕吐0SKmom]con□00DOOn000nD00DOODQOlNULL,Q9HDZ4C0C110111DOO]0000D00DQ011ODPC->AR?PC=PC+10AHC40EQF1100D1DOO0D011100DOOHltr-、収R?舶裝卻0BKmom]oooODODOO0D□□0D□□DOODQOlNULLQCKsiomn00LODODIOlj0UU0DUUDC01101^r.i:dmQDSIC40EOE1100D1DOO00011100DQ0111DQ-沁E2Q礫頸0EH(68109LUO0D1onIIID000D100001001DA1-MJU70FH22001CCOLODO10O0D000D00D01HODUT->DA11UKriciomoenoODODOOlj0UU0DUUDOODOODNULL當前行::! 地址略工:LC辻制ICAFS1OI]微代瑪查看曲口區(qū):BS插入X刖薛|底運取|fe寫入醬反匯族n斷點馳地址微代碼ETDO7EFWFE£3S2SISOCiN下址微指爼主釋LOHOOODCOIJIJO000□3000DD0000□30000JH¥LLL1HOOODCOOODooomo00DD0000mooomHULLL2H000000IJIJOIJIJIJ□3000DD0000□30000JH¥LLL3HOOODOOOODooocoa00DD0000coaoocoNULLL4HonnacoOODooo□30n0DD0000rooooroNULLL5HOOODCOOODooomo00DD0000mooomHULLL6HonnacoOODooo□3000DD0000rooooroNULLL7HOOODOOOODooocoa00DD0000coaoocoHULLLBHonnacoOODooo□3000DD0000rooooroNULL19HOOODCOOODooomo00DD0000mooomHULLLAHonnacoOODooo□3000DD0000rooooroNULLLBH□OODOOODDooomo00□□0□00mooomHULLLCHDC4D1H31D111ma10DD0000comui江-沁?c-ic-iLDHO4BEDiODD001mi0Itt11□□maioiaDAi-M,Esgg裝數(shù)1EMOOODiXiOODoooma00DD0000LoonomKULLLFH□OODOOOODooomo00□□0000mooomHULL2OH300DZ1uul100ma00DD00000100001DX-zDAl竺話訂:D 地;1L梅云;-□進|CftFSWJM??袢隭皿除佩讀取fe寫入腳融匚鋼H斷亢微地址微代碼EIDOTEFUHCF5S35Z51SOMClHnit徽扌詩注稈20Hanaozi001KO00000DO0OOaDlULIlXl]DE1->3A121H842501100001ooo0L00101aOCOOCO]DX1+HA2-5DE2£HC1Q0E3noULOOLOu0DO00OaD100011RATIHR108001ooo1030010000000aOCOOCOlDR-)M泗C1Q0Z51LOomOLO00D0UU0aD100101EWI-閒25H210026001ocoOLO00D0000aD1O0110RaM-汕辺E6HDZ40ET1LD111CTO]0D00000□1DU11]?l--^Ah,汽=;L+:27HC1Q0281LOocoOLO00D0000aD101OOORAH->AR28H0531010000010L100D0001aDCOOOOlDa1-;0J7泗21002A001ocoOLO00D0000aDIOLOIO2AHEW1D1111001OOD10D0001aomomiTU1-》pr,?r+|fl^trZBHCOQODOoooocoOOO00D0000aDCOOOOONULL2CHmaoDOoooom00000DUUU0aDiTirnXiONULLSIH□30ODOooo003ooo0000000aocoocooMl.2EHmaoDOoooomooo00DUUU0aDiTirnXiOHULLZBH000000ooooooooo00000000oooorooNULL30HIE^0D4no111ooo10DUUU0acimriioo?C沁?C-?Cl:當前行:o地瞬式:LC進制CATS

微代嗎查看宙口INE拒八X刖際 佩品2 寫八備反匯扁H斷.£遜地址林碼ETOOTBFUNCFSS3sz3130CiR下址微指令注科30HDC40O4111]LilLirin1annnnDD0000100w-訃?Tr=p^-n31HBC40OB110LU0001a0000DDOOCOllO比-沁rc=rc-n32HDC4022110Lil0001a0000DDOLmoifl們”Tr=p-4i33HDC4024110Lil0001a0000DDOLCOIOO氏-沁ic=rc-ti34HDC4029110Lil0001a0000DDOLOIOOLTr=p-4i35HDC403DnoLiloao1aaaaaDDOL1110Lru-沁rc=nc-n36MBC4041noLilULILI1a0000DDlocoaoLI匚-沁IC-P7413THUC404B110Lil000100000DDloronon嘔FC=F1:-H3SMLiiXiOOEirnriocoULILI1]a0000DDnoixiiooNULL39HOCOOODCOOoco000aa0000DDOOOJOOONULL3AKLiiXiOOEirnriocoULILIaaLILILILIDDnoixiiooNULL3BKomooomoooo00000000000oooooooNULL3CMLiiXiOOEirnriocoULILIa1]LILILILIDDnoixiiooFULL3DHC1003E110oco0L0aa0000DDOL111103EH21003FCO]ocoOLDaaLILILILIDDUL1111L^A'.l>3A13FHsmo4o010LOO000000000001000000DR->I)A2犯H0TD0O11000011L11a11LILIDDOOiXIOOlDALDa2:Da,Cy^fCiL當前行:0 1■創(chuàng)1L略武:lo.it^LICAPStnw鍛代碼查看窗口卅荷入¥的總懇i疑幢可、瞥屁開H斷點微地址BTOOTBmeFSS3S231soMC-B下址魁■酬50HooniiiiOOOLlOOotn00nn0000DaooililSULL53HQODOOLaooOOOoco0000□000DQODCOL叩JLL52HE4-110L11L001om10LILI□010DOODOOLnjki-沁pc+i有效53HB4410L11L001ooo10000010ooooroiDAL-^C, 有效54HaoDixioaoooooomU0LILI0000DOODCOO叩JLL55HOODCOOoooooootn00000000DOOOCOOSULL56HaoDixioaoooooomU0LILI□000DOODCOO叩JLL57HOODCOOooo000om00000000DOODCOOmJLL58HqodcoooooLIIJOOOJ00LILI0000DOODOOOMl-llseHaoDoooaooooooco0000□000DOODCOO叩JLL5AHOODiTiOoooooootn00nn0000DOODOOOSULL5BHOODCOOooo000om00000000DOODCOO刃JLL5CHaoDixioaoooooomU0LILI□000DOODCOOHULLT5IHOODCOOooooooOOJ00000000DOODCOOMl-llSIHaoDixioaoooooomU0LILI0000DOODCOO乩(LL5FHooomoooooooooo00000000oooomoHULLGOHaoDixiocoooooomU0LILI□000DOODCOO叩JLL當罰訂:4」 地址4§£:1」進制IUKF5HWI主存:主存窗口-十煮進制插入X刪陳 R品僂職R&I^AH斷點插入X刪陳 R品僂職R&I^AH斷點+o+1+2+3+5+E+T+8+9+A+B+c十D+E00CO00C830Cl00D勺30C930cc3000DC11DO1004C200D630CA30cc3000DC04DO1100002000000000000000000000000000000000301A00000000000000000000000000000040000000000000000000000000ao00ao0050000000000000000000000000000000006Q000Q0000000000000000000000□000□07000000000000000000000000000000000800000

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論