通信原理實(shí)驗(yàn):PAM調(diào)制解調(diào)系統(tǒng)和PCM系統(tǒng)實(shí)驗(yàn)_第1頁(yè)
通信原理實(shí)驗(yàn):PAM調(diào)制解調(diào)系統(tǒng)和PCM系統(tǒng)實(shí)驗(yàn)_第2頁(yè)
通信原理實(shí)驗(yàn):PAM調(diào)制解調(diào)系統(tǒng)和PCM系統(tǒng)實(shí)驗(yàn)_第3頁(yè)
通信原理實(shí)驗(yàn):PAM調(diào)制解調(diào)系統(tǒng)和PCM系統(tǒng)實(shí)驗(yàn)_第4頁(yè)
通信原理實(shí)驗(yàn):PAM調(diào)制解調(diào)系統(tǒng)和PCM系統(tǒng)實(shí)驗(yàn)_第5頁(yè)
已閱讀5頁(yè),還剩8頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

實(shí)驗(yàn)六PAM調(diào)制解調(diào)系統(tǒng)和PCM系統(tǒng)實(shí)驗(yàn)實(shí)驗(yàn)日期班 級(jí)學(xué)號(hào)姓名實(shí)驗(yàn)環(huán)境Commsim通信仿真軟件1實(shí)驗(yàn)?zāi)康模?)通過(guò)脈沖幅度調(diào)制實(shí)驗(yàn),使學(xué)生能加深理解脈沖幅度調(diào)制的特點(diǎn)。(2)通過(guò)對(duì)電路組成、波形和所測(cè)數(shù)據(jù)的分析,加深理解這種調(diào)制方式的優(yōu)缺點(diǎn)。(3)加深對(duì)PCM編碼過(guò)程的理解。(4)熟悉PCM編、譯碼專用集成芯片的功能和使用方法。(5)了解PCM系統(tǒng)的工作過(guò)程。(6) 進(jìn)一步加深對(duì)PCM編碼過(guò)程的理解。(7) 掌握時(shí)分多路復(fù)用的工作過(guò)程。(8) 了解PCM系統(tǒng)的工作過(guò)程。2實(shí)驗(yàn)內(nèi)容2?1抽樣定理與PAM調(diào)制解調(diào)實(shí)驗(yàn)2?1?1實(shí)驗(yàn)電路工作原理(一)電路組成脈沖幅度調(diào)制實(shí)驗(yàn)系統(tǒng)如圖4-1所示,由輸入電路、調(diào)制電路、脈沖發(fā)生電路、解調(diào)濾波電路、功放輸出電路等五部分組成,如圖4-2所示。K601圖4-1 脈沖振幅調(diào)制電路原理框圖(二)實(shí)驗(yàn)電路工作原理輸入電路該電路由發(fā)送放大、限幅電路等組成。該電路還用于PCM(一)、PCM(二)、增量調(diào)制編碼電路中。由限幅二極管D601、D602組成雙向限幅電路,防止外加輸入信號(hào)幅度過(guò)大而損壞后面調(diào)制電路中的場(chǎng)效應(yīng)管器件。電路電原理圖如4-2所示。PAM調(diào)制電路調(diào)制電路見(jiàn)圖4-2中的BG601。這是一種單管調(diào)制器,采用場(chǎng)效應(yīng)管3DJ6F,利用其阻抗高的特點(diǎn)和控制靈敏的優(yōu)越性,能很好的滿足調(diào)制要求。取樣脈沖由該管的S極加入,D極輸入音頻信號(hào),由于場(chǎng)效應(yīng)管良好的開(kāi)關(guān)特性,在TP602處可以測(cè)到脈沖幅度調(diào)制信號(hào),該信號(hào)為雙極性脈沖幅度信號(hào),不含直流分量。3DJ6的G極為輸出負(fù)載端,接有取樣保持電路,由R601、C601以及R602等組成,由開(kāi)關(guān)K601來(lái)控制,在做調(diào)制實(shí)驗(yàn)時(shí),K601的2端與3端相連,能觀察其取樣定理的波形。在做系統(tǒng)實(shí)驗(yàn)時(shí),將K601的1端與2端相連,即與解調(diào)濾波電路連通。脈沖發(fā)生電路該部分電路詳見(jiàn)圖4-2所示,主要有兩種抽樣脈沖,一種由555及其它元件組成,這是一個(gè)單諧振蕩器電路,能產(chǎn)生極性、脈寬、頻率可調(diào)的方波信號(hào),可通過(guò)改變CA601的電容來(lái)實(shí)現(xiàn)輸出脈沖頻率的變化,以便用來(lái)驗(yàn)證取樣定理,另一種由CPLD產(chǎn)生的8KHz抽樣脈沖,這兩種抽樣脈沖通過(guò)開(kāi)關(guān)K602來(lái)選擇??稍赥P603處很方便地觀測(cè)到脈沖頻率變化情況和輸出的脈沖波形。PAM解調(diào)與濾波電路解調(diào)濾波電路由集成運(yùn)放電路TL084組成。組成了一個(gè)二階有源低通濾波器,其截止頻率設(shè)計(jì)在3.4KHz左右,因?yàn)樵摓V波器有著解調(diào)的作用,因此它的質(zhì)量好壞直接影響著系統(tǒng)的工作狀態(tài)。該電路還用在接收通道電路中。功放輸出電路功放電路主要用來(lái)放大輸出信號(hào),提高解調(diào)后的音頻信號(hào)輸出功率。該電路選用了常見(jiàn)的小功率運(yùn)放LM386,配以少量的外圍元件來(lái)完成。放大后的音頻信號(hào)由喇叭作為負(fù)載輸出。實(shí)驗(yàn)內(nèi)容抽樣定理實(shí)驗(yàn)2?脈沖幅度調(diào)制(PAM)及系統(tǒng)實(shí)驗(yàn)實(shí)驗(yàn)步驟1.脈沖幅度調(diào)制實(shí)驗(yàn)步驟用示波器在TP601處觀察,以該點(diǎn)信號(hào)輸出幅度不失真時(shí)為好,如有削頂失真則減小外加信號(hào)源的輸出幅度或調(diào)節(jié)W108。在TPP603處觀察其取樣脈沖信號(hào)。改變CA601處的電容,再用示波器觀察TP602該點(diǎn)波形。做詳細(xì)記錄、繪圖。PAM通信系統(tǒng)實(shí)驗(yàn)步驟將K602的2端和3端相連,為CPLD產(chǎn)生的8KHz抽樣時(shí)鐘脈沖,用示波器觀測(cè)TP601?TP604各點(diǎn)波形,并做詳細(xì)記錄、繪圖。將K602的1端和2端相連,然后改變CA601的電容,即改變抽樣頻率f,使f>f、srsrf=2f、fV2f,在TP603處用示波器觀測(cè)系統(tǒng)輸出波形,以判斷和驗(yàn)證取樣定理在系統(tǒng)csrcsr中的正確性,同時(shí)做詳細(xì)記錄和繪圖,記下在系統(tǒng)通信狀態(tài)下的奈奎斯特速率。并分析比較。在TP111處用示波器觀察話音輸出波形,通過(guò)喇叭聽(tīng)話音,感性判斷該系統(tǒng)對(duì)話音信號(hào)的傳輸質(zhì)量。脈沖幅度調(diào)制實(shí)驗(yàn)注意事項(xiàng)CA601上插電容,可改變抽樣時(shí)鐘。電容在5600pf?0.1pf之間。驗(yàn)證取樣定理時(shí),有時(shí)會(huì)產(chǎn)生不同步現(xiàn)象,在示波器中觀察不到穩(wěn)定的信號(hào)。此時(shí)可適當(dāng)調(diào)整外加信號(hào)頻率,使之同步,有時(shí)需要反復(fù)耐心地調(diào)整才能觀察到。特別當(dāng)觀察f<c2f時(shí),注意判斷區(qū)別臨界狀態(tài)時(shí)的波形及頻率,并記下奈氏(Nyquist)速率。sr2.1.4實(shí)驗(yàn)結(jié)果TP601:TP602:TP603:2.2脈沖編碼調(diào)制PCM2.2.1實(shí)驗(yàn)電路工作原理(一)PCM基本工作原理

脈沖調(diào)制就是把一個(gè)時(shí)間連續(xù)、取值連續(xù)的模擬信號(hào)變換成時(shí)間離散、取值離散的數(shù)字信號(hào)后在信道中傳輸。脈碼調(diào)制就是對(duì)模擬信號(hào)先抽樣,再對(duì)樣值幅度量化、編碼的過(guò)程。所謂抽樣,就是對(duì)模擬信號(hào)進(jìn)行周期性掃描,把時(shí)間上連續(xù)的信號(hào)變成時(shí)間上離散的信號(hào)。該模擬信號(hào)經(jīng)過(guò)抽樣后還應(yīng)當(dāng)包含原信號(hào)中所有信息,也就是說(shuō)能無(wú)失真的恢復(fù)原模擬信號(hào)。它的抽樣速率的下限是由抽樣定理確定的。在該實(shí)驗(yàn)中,抽樣速率采用8Kbit/s。所謂量化,就是把經(jīng)過(guò)抽樣得到的瞬時(shí)值將其幅度離散,即用一組規(guī)定的電平,把瞬時(shí)抽樣值用最接近的電平值來(lái)表示。一個(gè)模擬信號(hào)經(jīng)過(guò)抽樣量化后,得到已量化的脈沖幅度調(diào)制信號(hào),它僅為有限個(gè)數(shù)值。所謂編碼,就是用一組二進(jìn)制碼組來(lái)表示每一個(gè)有固定電平的量化值。然而,實(shí)際上量化是在編碼過(guò)程中同時(shí)完成的,故編碼過(guò)程也稱為模/數(shù)變換,可記作A/D。由此可見(jiàn),脈沖編碼調(diào)制方式就是一種傳遞模擬信號(hào)的數(shù)字通信方式。PCM的原理如圖6-1所示。話音信號(hào)先經(jīng)防混疊低通濾波器,進(jìn)行脈沖抽樣,變成8KHz重復(fù)頻率的抽樣信號(hào)(即離散的脈沖調(diào)幅PAM信號(hào)),然后將幅度連續(xù)的PAM信號(hào)用“四舍五入”辦法量化為有限個(gè)幅度取值的信號(hào),再經(jīng)編碼,轉(zhuǎn)換成二進(jìn)制碼。對(duì)于電話,CCITT規(guī)定抽樣率為8KHz,每抽樣值編8位碼,即共有28=256個(gè)量化值,因而每話路PCM編碼后的標(biāo)準(zhǔn)數(shù)碼率是64kb/s。為解決均勻量化時(shí)小信號(hào)量化誤差大、音質(zhì)差的問(wèn)題,在實(shí)際中采用不均勻選取量化間隔的非線性量化方法,即量化特性在小信號(hào)時(shí)分層密、量化間隔小,而在大信號(hào)時(shí)分層疏、量化間隔大,如圖6—2所示。在實(shí)際中廣泛使用的是兩種對(duì)數(shù)形式的壓縮特性:A律和卩律。A律PCM用于歐洲和我國(guó),卩律用于北美和日本。它們的編碼規(guī)律如圖6-3所示。圖中給出了信號(hào)抽樣編碼字與輸入電壓的關(guān)系,其中編碼方式(1)為符號(hào)/幅度數(shù)據(jù)格式,Bit7表PCM信號(hào)話音輸入一I發(fā)送放大抽樣量化(接收)話音輸出低通話音輸入一I發(fā)送放大抽樣量化(接收)話音輸出低通解調(diào)解碼再生濾波(發(fā)送)編碼(1)符號(hào):幅度碼 (3)卩律編碼11111111111100001110000011010000110000001011000010100000100100001000000000000000000100000010000000110000010000000101000001100000(1)符號(hào):幅度碼 (3)卩律編碼1111111111110000111000001101000011000000101100001010000010010000100000000000000000010000001000000011000001000000010100000110000010000000100011111001111110101111—31111PCM1100111111101111110111110111111101101111010111110100111100111111001011110001111100001111圖50111000000000000—2.115V—1.207V0V+1.207V+2.115B117-0MSBLSB(1)符號(hào):幅度碼(2)A律編碼11111111101010101111000010100101111000001011010111010000hTTl—T---4^10000101吐碼方式i10010101101100001110010110100000111101011001000011000101100000001101010100010000010001010010000001110101001100000110010101000000000101010101000000000101011000000011010101110000001001010111111100101010—2.5V —1.25V0V+1.25V +2.5VB117—0MSBLSB輸入信號(hào)電壓輸入信號(hào)電壓輸入信號(hào)電壓示符號(hào)位,Bit6?0表示幅度大??;(2)為A律壓縮數(shù)據(jù)格式,它是(1)的ADI(偶位反相)碼;(3)為卩律壓縮數(shù)據(jù)格式,它是由(1)的Bit6?0反相而得到,通常為避免00000000碼出現(xiàn),將其變成零抑制碼00000010。對(duì)壓縮器而言,其輸入輸出歸一化特性表示式為:(0<匕<-)<v1(0<匕<-)<v1<1)A律: —“ 1+InA0 1+ln(AV) 1—、1+InA(1<£<1)卩律:“ 1+ln(1+卩V)(1<£<1)0 ln(1+卩)(二)PCM編譯碼電路TP3067芯片介紹編譯碼器的簡(jiǎn)單介紹模擬信號(hào)經(jīng)過(guò)編譯碼器時(shí),在編碼電路中,它要經(jīng)過(guò)取樣、量化、編碼,如圖6-4(a)所示。至I」底在什么時(shí)候被取樣,在什么時(shí)序輸出PCM碼則由A-D控制來(lái)決定,同樣PCM碼被接收到譯碼電路后經(jīng)過(guò)譯碼、低通濾波、放大,最后輸出模擬信號(hào),把這兩部分集成在一個(gè)芯片上就是一個(gè)單路編譯碼器,它只能為一個(gè)用戶服務(wù),即在同一時(shí)刻只能為一個(gè)用戶進(jìn)行A\D及D\A變換。編碼器把模擬信號(hào)變換成數(shù)字信號(hào)的規(guī)律一般有二種,一種是卩律十五折線變換法,它一般用在PCM24路系統(tǒng)中,另一種是A律十三折線非線性交換法,它一般應(yīng)用于PCM30\32路系統(tǒng)中,這是一種比較常用的變換法.模擬信號(hào)經(jīng)取樣后就進(jìn)行A律十三折線變換,最后變成8位PCM碼,在單路編譯碼器中,經(jīng)變換后的PCM碼是在一個(gè)時(shí)隙中被發(fā)送出去,這個(gè)時(shí)序號(hào)是由A-D控制電路來(lái)決定的,而在其它時(shí)隙時(shí)編碼器是沒(méi)有輸出的,即對(duì)一個(gè)單路編譯碼器來(lái)說(shuō),它在一個(gè)PCM幀里只在一個(gè)由它自己的A-D控制電路決定的時(shí)隙里輸出8位PCM碼,同樣在一個(gè)PCM幀里,它的譯碼電路也只能在一個(gè)由它自己的D--A控制電路決定的時(shí)序里,從外部接收8位PCM碼。其實(shí)單路編譯碼器的發(fā)送時(shí)序和接收時(shí)序還是可由外部電路來(lái)控制的,編譯碼器的發(fā)送時(shí)序由A-D控制電路來(lái)控制。我們定義為FSx和FSr,要求FSx和FSr是周期性的,并且它的周期和PCM的周期要相同,都為125uS,這樣,每來(lái)一個(gè)FSx,其Codec就輸出一個(gè)PCM碼,每來(lái)一個(gè)FSr,其Codec就從外部輸入一個(gè)PCM碼。圖6-4(b)是PCM的譯碼電路方框圖,工作過(guò)程同圖6-4(a)相反,因此就不再討論了。

圖5-4(a)A—D電路圖5-4(a)A—D電路圖5-4(b)D—A電路圖5-4A/D及D/A電路框圖本實(shí)驗(yàn)系統(tǒng)編譯碼器電路的設(shè)計(jì)我們所使用的編譯碼器是把編譯碼電路和各種濾波器集成在一個(gè)芯片上,它的框圖見(jiàn)圖6—5所示。該器件為TP3067。圖6-6是它的管腳排列圖。VPO+VPO-VPIVFROANLB(模擬環(huán)回路控制入)R21618VFXI+191模擬入"FXJ濾有源開(kāi)關(guān)通容一濾波器4R3R4比效器控制邏輯土}XMTREGOE13DX壓低通

濾波器RCVREGCLKDR定時(shí)和控制15TSXVPO+VPO-VPIVFROANLB(模擬環(huán)回路控制入)R21618VFXI+191模擬入"FXJ濾有源開(kāi)關(guān)通容一濾波器4R3R4比效器控制邏輯土}XMTREGOE13DX壓低通

濾波器RCVREGCLKDR定時(shí)和控制15TSX+5V-5V20111012142011101214VCCVBBGNDAMCLKXMCLKRBCLKR/FSRFSX/PDNBCLKXCLKSELVCCVBBGNDAMCLKXMCLKRBCLKR/FSRFSX/PDNBCLKXCLKSEL圖5-5圖5-5TP3067邏輯方框圖引腳符號(hào)符號(hào) 功能VPO+接收功率放大器的同相輸出GNDA模擬地,所有信號(hào)均以該引腳為參考點(diǎn)VPO-接收功率放大器的倒相輸出VPI 接收功率放大器的倒相輸入VFRO接收濾波器的模擬輸出120VBB219VFXI+318VFXI-417GSX516ANLB615TSX7120VBB219VFXI+318VFXI-417GSX516ANLB615TSX714FSXDX813912BCLKX1011MCLKXVPO+GNDAVPO-VPIVFROVCCFSRDRBCLKR/CLKSELMCLKR/PDNFSR接收幀同步脈沖,F(xiàn)SR為8kHz脈沖序列。DR 接收幀數(shù)據(jù)輸入.PCM數(shù)據(jù)隨著FSR前沿移入DRBCLKR\CLKSEL在FSR的前沿后把數(shù)據(jù)移入DR的位時(shí)鐘,其頻率可從64kHz至2.48MHz。MCLKR\PDN 接收主時(shí)鐘,其頻率可以為1.536MHz、1.544MHz或2.048MHz. 圖5-6TP3067管腳排列圖MCLKX發(fā)送主時(shí)鐘,其頻率可以是1.536MHz,1.544MHz或2.048MHz.它允許與MCLKR異步,同步工作能實(shí)現(xiàn)最佳性能。BCLKXPCM數(shù)據(jù)從DX上移出的位時(shí)鐘,頻率從64kHz至2.048MHz,必須與MCLKX同步。DX 由FSX啟動(dòng)的三態(tài)PCM數(shù)據(jù)輸出。FSX 發(fā)送幀同步脈沖輸入,它啟動(dòng)BCLKX并使DX上PCM數(shù)據(jù)移到DX上。ANLB 模擬環(huán)回路控制輸入,在正常工作時(shí)必須置為邏輯“0”,當(dāng)拉到邏輯“1”時(shí),發(fā)送濾波器和前置放大器輸出被斷開(kāi),改為和接收功率放大器的VPO+輸出連接。GSX 發(fā)送輸入放大器的模擬輸出。用來(lái)在外部調(diào)節(jié)增益。VFXI- 發(fā)送輸入放大器的倒相輸入。VFXI+ 發(fā)送輸入放大器的非倒相輸入。VBB 負(fù)電源引腳,VBB=-5V土5%。PCM編譯碼電路PCM編譯碼電路所需的工作時(shí)鐘為2.048MHz,F(xiàn)SR、FSX的幀同步信號(hào)為8KHz窄脈沖,圖6-7是短幀同步定時(shí)波形圖,圖6-8是時(shí)鐘電路測(cè)量點(diǎn)波形圖,圖6-9是它的電原理圖,圖6-10是PCM編譯碼電路的波形圖。在本實(shí)驗(yàn)中選擇A律變換,以2.048Mbit/s的速率來(lái)傳送信息,信息幀為無(wú)信令幀,它的發(fā)送時(shí)序與接收時(shí)序直接受FSX和FSR控制。還有一點(diǎn),編譯碼器一般都有一個(gè)PDN降功耗控制端,PDN=0時(shí),編譯碼能正常工作,PDN=1時(shí),編譯碼器處于低功耗狀態(tài),這時(shí)編譯碼器其它功能都不起作用,我們?cè)谠O(shè)計(jì)時(shí),可以實(shí)現(xiàn)對(duì)編譯碼器的降功耗控制。TSX1MCLKxF \1I-!TSX1MCLKxF \1I-!MCLKRTP502—_l-\1IBCLKXTP502_-Jj-11—[1FSXTP503 _u11DXTP504一一!■||—BLCKRTP502—FSRTP503 —r■DRTP505i:14;J1XI'/圖5-7短幀同步定時(shí)2.048MHz編碼2.048MHz主時(shí)鐘輸入編碼8KHz幀同步信號(hào)輸入編碼PCM數(shù)字信號(hào)輸出譯碼2.048MHz主時(shí)鐘輸入譯碼8KHz幀同步信號(hào)輸入譯碼PCM數(shù)字信號(hào)輸入

TP101-mnnnnnnnnnnnnnnn2.048MHz方波TP101-mnnnnnnnnnnnnnnn2.048MHz方波128KHz窄脈沖TP10彳— 形8KHz窄脈沖2.2?幾驗(yàn) 一用同步的簡(jiǎn)易信號(hào)觀察A律PCM八比特編碼的實(shí)驗(yàn)2?脈沖編碼調(diào)制(PCM)及系統(tǒng)實(shí)驗(yàn)2.2.3實(shí)驗(yàn)步驟給PCM系統(tǒng)中送上兩組信號(hào),即:(1)2048KHZ主時(shí)鐘信號(hào);(2)8KHz收發(fā)分幀同步信號(hào)。跳線開(kāi)關(guān)放置:K501的2-3腳、K502的1-2腳、K503的1-2腳。PCM系統(tǒng)實(shí)驗(yàn)電路波形圖見(jiàn)6-10所示。2.2.4實(shí)驗(yàn)結(jié)果TP502:2.3時(shí)分多路復(fù)用PCM2.3.1實(shí)驗(yàn)電路工作原理;p507U502PCM(二)編碼器輸入話音信號(hào) …I,PCM-IN2編碼器PCM(二)譯碼器

輸出話音信號(hào)譯碼器PCM-A-OUT2護(hù)09PCM(二)PCM(二)〔PCM;p507U502PCM(二)編碼器輸入話音信號(hào) …I,PCM-IN2編碼器PCM(二)譯碼器

輸出話音信號(hào)譯碼器PCM-A-OUT2護(hù)09PCM(二)PCM(二)〔PCM(二)編碼器1輸出數(shù)字信號(hào)05

■3自環(huán)TP508PCM-0UT2去PCM(一)譯碼電路輸入端時(shí)序可變的收發(fā)ZM8X分幀同步信號(hào)1K504PCM-OUT1來(lái)自PCM(一)編碼器輸出數(shù)字信號(hào)圖6-1時(shí)分多路復(fù)用PCM電原理示意圖圖7-1是時(shí)分多路復(fù)用PCM編譯碼方框圖,從圖中可知,它與脈沖編碼調(diào)制

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論