基于單片機的室內(nèi)可燃?xì)怏w檢測_第1頁
基于單片機的室內(nèi)可燃?xì)怏w檢測_第2頁
基于單片機的室內(nèi)可燃?xì)怏w檢測_第3頁
基于單片機的室內(nèi)可燃?xì)怏w檢測_第4頁
基于單片機的室內(nèi)可燃?xì)怏w檢測_第5頁
已閱讀5頁,還剩22頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

基于單片機的可燃?xì)怏w檢測摘要:本文的設(shè)計主要是針對室內(nèi)有害氣體中的可燃?xì)怏w進(jìn)行檢測。該設(shè)計基于80C52單片機進(jìn)行開發(fā)以實現(xiàn)其控制功能。整個檢測系統(tǒng)電路由傳感器調(diào)理電路、模數(shù)轉(zhuǎn)換模塊、液晶顯示模塊、單片機、指示報警等模塊組成。其主要工作流程為:通過MQ-4氣體傳感器對室內(nèi)有害氣體濃度進(jìn)行采集,從而轉(zhuǎn)換成相應(yīng)的電壓值,將所需的模擬信號采集處理后傳送給A/D轉(zhuǎn)換器,再經(jīng)模數(shù)轉(zhuǎn)換后將數(shù)字信號傳送至8052單片機,然后通過單片機內(nèi)部的數(shù)據(jù)處理,判斷是否需要進(jìn)行聲光報警,以此來預(yù)防泄漏事故的發(fā)生。該系統(tǒng)可以實現(xiàn)對空氣中可燃?xì)怏w的濃度進(jìn)行檢測以防止氣體泄漏,從而大大降低日常生活中可燃?xì)怏w泄漏所引起的中毒、火災(zāi)、爆炸等事故的發(fā)生?!娟P(guān)鍵詞】:可燃?xì)怏w;報警器;單片機;數(shù)據(jù)采集與記錄;MQ-4傳感器AbstractInthispaper,thedesignmainlyforindoorharmfulgasesacombustiblegasinthegasdetection.ThecircuitisbasedonC52fordevelopmentinordertorealizethecontrolfunction,thewholetestsystemcircuitconsistsof:sensorconditioningcircuits,analog-to-digitalconversionmodule,liquidcrystaldisplaymodule,MCU,instructsthealarmmodule,etc.Itsmainworkingprocessis:throughtheMQ-4gassensorforindoorharmfulgasconcentrationinthecollectionwhichisconvertedintocorrespondingvoltagevalue,amplifytheanalogsignalacquisitionofrequiredwillbesenttoA/Dconverter,thenthroughmoduleconvertedtodigitalsignalsand8052singlechipmicrocomputer,thenbysinglechipmicrocomputerinternaldataprocessing,judgewhetherneedtosoundandlightalarm,topreventmalignantaccidents.Thesystemcanrealizetothecombustiblegasdetection,topreventthegasconcentrationistoohighintheair,therebysignificantlyreducingdailycausedbyharmfulgaspoisoning,theincidenceoffire,explosionandotheraccidents.[keywords]:Harmfulgas;alarm;singlechipmicrocomputer;dataacquisitionandrecording;MQ-4sensors 目錄基于單片機的可燃?xì)怏w檢測 I1.緒論 11.1 天然氣的危害 11.2課題的研究背景及意義 21.3有害氣體檢測系統(tǒng)在國內(nèi)的開展 2國外開展現(xiàn)狀與趨勢 2國內(nèi)開展現(xiàn)狀 32.系統(tǒng)組成框圖與工作原理 53.電路單元硬件設(shè)計 63.1主控芯片的選擇與介紹 63.1.1管腳介紹: 73.1.289C51的外部管腳封裝 93.2單片機最小系統(tǒng)電路設(shè)計 103.2.1單片機時鐘電路 103.2.2復(fù)位電路 103.3ADC0809轉(zhuǎn)換芯片介紹 113.3.1管腳介紹 123.3.2管腳封裝 133.4ADC0809的局部電路 143.5MQ-4傳感器介紹 153.5.1傳感器的規(guī)格 163.5.2傳感器的測量電路 183.6液晶顯示電路 193.6.1TC1602A的引腳功能 193.6.2TC1602的控制指令 203.6.3LCD的連接電路 213.7聲光報警電路 224系統(tǒng)軟件設(shè)計 234.1系統(tǒng)總體軟件設(shè)計流程 234.2軟件開發(fā)工具的介紹 234.2.1KEIL系統(tǒng)概述 245檢測系統(tǒng)電路的仿真 265.1軟件仿真 265.1.1PROTEUS軟件與KEIL軟件的聯(lián)調(diào) 265.1.2軟件仿真過程 275.2硬件實物圖 286.總結(jié) 30致謝 31參考文獻(xiàn) 32附錄程序 341.緒論伴隨著經(jīng)濟(jì)的開展和工業(yè)化進(jìn)程的加快,環(huán)境污染問題日趨嚴(yán)重。近年來,PM2.5、沙塵暴、酸雨的危害等逐漸成為熱門話題,人們開始對環(huán)境質(zhì)量提出了更高的要求。與此同時,室內(nèi)空氣品質(zhì)狀況受到越來越多的關(guān)注。由于現(xiàn)代生活節(jié)奏的加快,人們在起居室、辦公室等室內(nèi)環(huán)境的滯留時間越來越長。在西方工業(yè)興旺國家,人們在室內(nèi)停留的時間己達(dá)全天的93%左右,而我國也超過了全天的85%。室內(nèi)空氣品質(zhì)狀況直接影響人們的身體健康。美國環(huán)境保護(hù)局(EPA)與世界衛(wèi)生組織(WHO)進(jìn)行的聯(lián)合調(diào)查說明,有大約20%的美國建筑存在嚴(yán)重的室內(nèi)空氣污染,40%有一定程度的室內(nèi)空氣污染,另40%存在輕微或沒有室內(nèi)空氣污染。根據(jù)美國環(huán)境健康總署的調(diào)查,室內(nèi)主要空氣污染常常是室外空氣污染濃度的2-3倍,一般家庭室內(nèi)可檢測到的污染物多達(dá)300種,而有68%的人體疾病與室內(nèi)空氣污染有關(guān)。美國職業(yè)平安及健康局的報告認(rèn)為,在美國,企業(yè)因室內(nèi)空氣惡劣而造成的工時損失平均約每人每日14-15分鐘。2001年,我國正式實施的第一部《室內(nèi)空氣質(zhì)量標(biāo)準(zhǔn)》,其中專家把室內(nèi)環(huán)境污染按照污染物的性質(zhì)分為三大類。第一大類化學(xué)污染:主要來自裝修、家具、玩具、煤氣熱水器、殺蟲噴劑化裝品、抽煙、廚房的油煙等;第二大類物理污染:主要來自室外及室內(nèi)的電器設(shè)備產(chǎn)生的噪聲、光和建筑裝飾材料產(chǎn)生的放射性污染等;第三大類生物污染:主要來自寄生于室內(nèi)裝飾裝修材料、生活用品和空調(diào)中產(chǎn)生的螨蟲及其它細(xì)菌等。由于本文知識內(nèi)容和篇幅的局限性,本設(shè)計主要針對天然氣、甲烷等室內(nèi)有毒有害、可燃?xì)怏w的檢測展開研究。天然氣的危害天然氣是一種被廣泛使用的清潔能源,本身具有較高的平安值,但是,我們?nèi)匀徊豢梢院雎运奈:?。天然氣的危害主要包括以下幾個方面:首先,從天然氣的組成來主要是甲烷,通常占90%以上,還含有一些乙烷、丙烷等其他烴類,并且有少量的二氧化碳、氮氣、硫化氫、氫氣等非烴類組分。在日常生活中,由于使用不當(dāng)造成天然氣泄漏會使人因窒息而死亡。其次,天然氣主要成分是烷烴〔甲烷、乙烷、丙烷、丁烷等〕和少量二氧化碳、二氧化硫,它的可燃燒成分是烷烴,烷烴本身無色無味,沒有毒性〔只會使人窒息〕,而有氣味的是其中二氧化硫。通常,如果天然氣中二氧化硫含量極少。為了使人容易通過嗅覺感知管道泄漏,因而在天然氣中參加少量二氧化硫氣體或硫化氫氣體,但硫化氫是一種劇毒氣體,如果天然氣中含有較多的硫化氫,人大量吸入后會造成健康損傷。

天然氣的另一個危害是爆炸性。天然氣易燃易爆,和空氣混合后,溫度到達(dá)550℃左右就會燃燒;其混合物濃度到達(dá)5%-15%,遇到明火就會爆炸。天然氣熱值高,約8500-10000千卡/立方米,天然氣燃燒后發(fā)出的熱量是相同體積的城市煤氣的2.5倍左右。1.2課題的研究背景及意義為了平安可靠的使用燃?xì)猓A(yù)防天然氣泄漏引發(fā)的危險,人們已經(jīng)采用了各種各樣的措施。家用可燃?xì)怏w報警器就是一種為了預(yù)防氣體泄漏危險而開發(fā)的一種家用報警器,一般都是采用高靈敏度的氣敏元件作為氣體濃度檢測元件,并配以電路和聲光報警等局部組成。當(dāng)泄漏的氣體到達(dá)危險極值時報警器就會自動發(fā)出聲光報警提醒人們注意,從而有效防止燃?xì)庑孤┰斐傻奈:Α?.3有害氣體檢測系統(tǒng)在國內(nèi)的開展1.3.1國外開展現(xiàn)狀與趨勢國外氣體傳感器開展很快,一方面是由于人們平安意識增強,對環(huán)境平安性和生活舒適性要求提高;另一方面是由于傳感器市場增長受到政府平安法規(guī)的推動。因此,國外氣體傳感器技術(shù)得到了較快開展,據(jù)有關(guān)統(tǒng)計預(yù)測,美國1996年—2002年氣體傳感器年均增長率為(27~30)%。在應(yīng)用方面,目前最廣泛的是可燃性氣體氣敏元件傳感器,已普及應(yīng)用于氣體泄漏檢測和監(jiān)控,從工廠企業(yè)到居民家庭,應(yīng)用十分廣泛。氣體檢測技術(shù)與計算機技術(shù)相結(jié)合,實現(xiàn)了智能化、多功能化。美國工業(yè)科學(xué)公司(ISC)一臺攜帶式氣體監(jiān)控儀可實現(xiàn)4種氣體監(jiān)測,并采用了統(tǒng)一的軟件,只需要換氣體傳感器,即可實現(xiàn)對特定氣體監(jiān)測。美國國際傳感器技術(shù)(IST)公司應(yīng)用一種“MegaCas"傳感器和微程序控制單元,可檢測100種以上毒性氣體和可燃性氣體,通過其“氣體檢索〞功能掃描,能很快確定是哪一種氣體。目前,氣體傳感器的開展趨勢集中表現(xiàn)為:一是提高靈敏度和工作性能,降低功耗和本錢,縮小尺寸,簡化電路,與應(yīng)用整機相結(jié)合,這也是氣體傳感器一直追求的目標(biāo),如日本費加羅公司推出了檢測(0.1~10)×10-6硫化氫低功耗氣體傳感器,美國IST提供了壽命達(dá)10年以上的氣體傳感器,美國FirstAlert公司推出了生物模擬型(光化反響型)低功耗CO氣體傳感器等。二是增強可靠性,實現(xiàn)元件和應(yīng)用電路集成化,多功能化,開展MEMS技術(shù),開展現(xiàn)場適用的變送器和智能型傳感器。如美國GeneralMonitors公司在傳感器中嵌入微處理器,使氣體傳感器具有控制校準(zhǔn)和監(jiān)視故障狀況功能,實現(xiàn)了智能化。1.3.2國內(nèi)開展現(xiàn)狀在國內(nèi),隨著國家環(huán)??刂屏Χ鹊牟粩嗉哟?,環(huán)保領(lǐng)域氣體檢測儀器儀表的用量也逐年增加。環(huán)保領(lǐng)域主要使用的是于檢測煙氣、尾氣、廢氣等環(huán)境污染氣體的毒性氣體傳感器,其中,使用最廣泛的的是定電位電解式電化學(xué)氣體傳感器,對CO、H2S、NH3、SO2、NOX、Cl2及其它化合物等有毒氣體的檢測。其具體應(yīng)用包括鍋爐煙氣檢測、大氣質(zhì)量檢測等方面應(yīng)用,隨著環(huán)境保護(hù)要求的提高,其需求量將迅速增加。環(huán)境氣體監(jiān)測涉及的方面廣泛,從環(huán)境大氣監(jiān)測到工業(yè)氣體排放檢查,都要使用氣體傳感器與分析檢測儀器儀表。根據(jù)《環(huán)境空氣質(zhì)量檢測標(biāo)準(zhǔn)》的規(guī)定,國家環(huán)境空氣質(zhì)量評價點的設(shè)置數(shù)量應(yīng)按每25-30km建成區(qū)面積設(shè)1個監(jiān)測站,并且不少于8個點。由此計算環(huán)保檢測領(lǐng)域每年的氣體檢測器需求大于10萬臺。年市場容量約10萬臺(套),按每套產(chǎn)品5000元計算將有5億元以上的市場規(guī)模。工業(yè)用氣體檢測儀器儀表由于技術(shù)和資金門檻較高,生產(chǎn)企業(yè)相對較少,一般實力和規(guī)模都相對較強。國內(nèi)較為知名的企業(yè)是:河南漢威電子股份、北京科力恒、深圳特安電子、成都安可信電子、哈爾濱東方報警設(shè)備等幾家公司。進(jìn)口產(chǎn)品品牌眾多,占據(jù)了大量高端市場,有著較高的市場占有率和良好的質(zhì)量口碑,但普遍價格昂貴。隨著現(xiàn)代信息技術(shù)的飛速開展和傳統(tǒng)工業(yè)改造的逐步實現(xiàn),能夠獨立工作的氣體濃度檢測和顯示系統(tǒng)已經(jīng)廣泛應(yīng)用于諸多領(lǐng)域。氣體傳感器向著低功耗、多功能、集成化方向開展。氣敏傳感器作為新型敏感元件傳感器,在國家列為重點支持開展的情況下國內(nèi)已有了一定的根底。氣體傳感器及其應(yīng)用技術(shù)有了較快進(jìn)展,但與國外先進(jìn)水平仍有較大的差距,主要是產(chǎn)品制造技術(shù)、產(chǎn)業(yè)化及應(yīng)用等方面的差距。目前國內(nèi)氣體檢測儀器儀表企業(yè)另一個突出的特點是產(chǎn)品線相對較短,應(yīng)用領(lǐng)域有限,大多局限在工業(yè)平安、民用燃?xì)馄桨差I(lǐng)域。氣體檢測儀器儀表行業(yè)的廣闊前景、快速開展及較高利潤水平,也吸引了相關(guān)工業(yè)儀器儀表巨頭的高度關(guān)注。局部工業(yè)儀器儀表巨頭迅速調(diào)整方向介入氣體檢測儀器儀表行業(yè),市場競爭越來越劇烈??梢灶A(yù)見國內(nèi)一些小型的氣體檢測儀器儀表企業(yè)面臨洗牌的危險,而規(guī)模相對較大的企業(yè)也需要加強研發(fā)和技術(shù)創(chuàng)新,擴大生產(chǎn)規(guī)模,提高市場占有率,并迅速建立核心氣體傳感器研發(fā)和生產(chǎn)能力,以便盡快做大作強,才有足夠?qū)嵙εc跨國巨頭競爭。2.系統(tǒng)組成框圖與工作原理本設(shè)計主要是對室內(nèi)可燃?xì)怏w進(jìn)行檢測分析,一旦有害氣體的濃度超過容許的氣體濃度范圍,系統(tǒng)閃光響鈴報警。因而,系統(tǒng)應(yīng)具有以下功能:〔1〕有害氣體傳感器的檢測功能;〔2〕數(shù)模轉(zhuǎn)換功能;〔3〕聲光報警功能;通過對功能需求分析,本系統(tǒng)設(shè)計如下:單片機有害氣表達(dá)場檢測系統(tǒng)主要由氣體傳感器、信號調(diào)理、A/D模數(shù)轉(zhuǎn)換器、單片機和聲光報警等幾局部構(gòu)成。有害氣體傳感器對有害氣體的濃度進(jìn)行測量,進(jìn)而轉(zhuǎn)換成電壓量,將氣體傳感器的輸出端口接到A/D模數(shù)轉(zhuǎn)換器的IN0,并作為A/D模數(shù)轉(zhuǎn)換器的輸入。再將A/D模數(shù)轉(zhuǎn)換器的輸出端D0~D7接到單片機的P1口,將聲光報警電路接到單片機的P3.4和P3.5口,從而構(gòu)成氣體檢測報警系統(tǒng)。當(dāng)氣體傳感器檢測的濃度值大于或等于所設(shè)定的氣體濃度值,通過A/D模數(shù)轉(zhuǎn)換成高電平送到單片機的P1口,從而使P3.4=0,閃光就啟動,同時P3.5=0,蜂鳴器啟動,從而完成了氣體的檢測。其硬件結(jié)構(gòu)圖如下:LCD液晶顯示LCD液晶顯示聲光報警電路C52單片機A/D轉(zhuǎn)換電路傳感器電路聲光報警電路C52單片機A/D轉(zhuǎn)換電路傳感器電路圖2.2硬件電路圖3.電路單元硬件設(shè)計作為一個典型的智能檢測系統(tǒng),它應(yīng)包括數(shù)據(jù)的采集、變換、處理等環(huán)節(jié),硬件設(shè)計主要包括CPU的選型,傳感器的選擇,A/D轉(zhuǎn)換芯片的選擇,電源電路、顯示電路、報警斷電控制電路的設(shè)計。3.1主控芯片的選擇與介紹本設(shè)計的氣體濃度測量裝置采用單片機具有判斷、運算和控制能力及存儲、顯示功能,它所處理的信息量和復(fù)雜程度不是太大,采用8位單片機足可滿足設(shè)計要求,因此選擇了AT-MEL公司的COMS工藝的低功耗單片機AT89C52。89C52是INTEL公司MCS-51系列單片機中根本的產(chǎn)品,是采用ATMEL公司可靠的CMOS工藝技術(shù)制造的高性能8位單片機,屬于標(biāo)準(zhǔn)的MCS-51的HCMOS產(chǎn)品。它結(jié)合了CMOS的高速和高密度技術(shù)及CMOS的低功耗特征,它基于標(biāo)準(zhǔn)的MCS-51單片機體系結(jié)構(gòu)和指令系統(tǒng),屬于89C51增強型單片機版本,集成了時鐘輸出和向上或向下計數(shù)器等更多的功能,適合于類似馬達(dá)控制等應(yīng)用場合。89C52內(nèi)置8位中央處理單元、256字節(jié)內(nèi)部數(shù)據(jù)存儲器RAM、8k片內(nèi)程序存儲器〔ROM〕32個雙向輸入/輸出(I/O)口、3個16位定時/計數(shù)器和5個兩級中斷結(jié)構(gòu),一個全雙工串行通信口,片內(nèi)時鐘振蕩電路。此外,89C52還可工作于低功耗模式,可通過兩種軟件選擇空閑和掉電模式。在空閑模式下凍結(jié)CPU而RAM定時器、串行口和中斷系統(tǒng)維持其功能。掉電模式下,保存RAM數(shù)據(jù),時鐘振蕩停止,同時停止芯片內(nèi)其它功能。89C52有PDIP(40pin)和PLCC(44pin)兩種封裝形式。3.1.1管腳介紹:圖3.1.1原理管腳圖VCC:供電電壓;GND:接地;P0口:P0口為一個8位的漏級開路雙向I/O口,每個管腳可吸收8個TTL門電流。當(dāng)P0口的管腳第一次寫“1〞時,被定義為高阻輸入。P0能夠用于外部程序數(shù)據(jù)存儲器,它可以被定義為數(shù)據(jù)/地址的第八位。在FIASH編程時,P0口作為原碼輸入口,當(dāng)FIASH進(jìn)行校驗時,P0輸出原碼,此時P0外部必須被拉高;P1口:P1口是一個內(nèi)部提供上拉電阻的8位雙向I/O口,P1口緩沖器能接收輸出4個TTL門電流。P1口管腳寫入“1〞后,被內(nèi)部上拉為高,可用作輸入,P1口被外部下拉為低電平時,將輸出電流,這是由于內(nèi)部上拉的緣故。在FLASH編程和校驗時,P1口作為第八位地址接收;P2口:P2口為一個內(nèi)部上拉電阻的8位雙向I/O口,P2口緩沖器可接收,輸出4個TTL門電流,當(dāng)P2口被寫“1〞時,其管腳被內(nèi)部上拉電阻拉高,且作為輸入。并因此作為輸入時,P2口的管腳被外部拉低,將輸出電流。這是由于內(nèi)部上拉的緣故。P2口用于外部程序存儲器或16位地址外部數(shù)據(jù)存儲器進(jìn)行存取時,P2口輸出地址的高八位。在給出地址“1〞時,它利用內(nèi)部上拉優(yōu)勢,當(dāng)對外部八位地址數(shù)據(jù)存儲器進(jìn)行讀寫時,P2口輸出其特殊功能存放器的內(nèi)容。P2口在FLASH編程和校驗時接收高八位地址信號和控制信號;P3口:P3口管腳是8個帶有內(nèi)部上拉電阻的雙向I/O口,可接收輸出4個TTL門電流。當(dāng)P3口寫入“1〞后,它們被內(nèi)部上拉為高電平,并用作輸入。作為輸入,由于外部下拉為低電平,P3口將輸出電流〔ILL〕這是由于上拉的緣故。P3口作為AT89C51的一些特殊功能口,管腳備選功能;P3.0RXD〔串行輸入口〕;P3.1TXD〔串行輸出口〕;P3.2/INT0〔外部中斷0〕;P3.3/INT1〔外部中斷1〕;P3.4T0〔計時器0外部輸入〕;P3.5T1〔計時器1外部輸入〕;P3.6/WR〔外部數(shù)據(jù)存儲器寫功能選通〕;P3.7/RD〔外部數(shù)據(jù)存儲器讀功能選通〕;RST:復(fù)位輸入。當(dāng)振蕩器復(fù)位器件時,保持RST腳兩個機器周期的高電平時間;ALE/PROG:當(dāng)訪問外部存儲器時,地址鎖存允許的輸出電平用于鎖存地址的地位字節(jié)。在FLASH編程期間,此引腳用于輸入編程脈沖。在平時,ALE端以不變的頻率周期輸出正脈沖信號,此頻率為振蕩器頻率的1/6。因此它可用作對外部輸出的脈沖或用于定時目的。然而要注意的是:每當(dāng)用作外部數(shù)據(jù)存儲器時,將跳過一個ALE脈沖。如想禁止ALE的輸出可在SFR8EH地址上置0。此時,ALE只有在執(zhí)行MOVX,MOVC指令是ALE才起用。另外,該引腳被略微拉高。如果微處理器在外部執(zhí)行狀態(tài)ALE禁止,置位無效;PSEN:外部程序存儲器的選通信號。在由外部程序存儲器取指令期間,每個機器周期兩/PSEN有效。但在訪問外部數(shù)據(jù)存儲器時,這兩次有效的/PSEN信號將不出現(xiàn)。/EA/VPP:當(dāng)/EA保持低電平時,那么在此期間外部程序存儲器〔0000H-FFFFH〕,不管是否有內(nèi)部程序存儲器。注意加密方式1時,/EA將內(nèi)部鎖定為RESET;當(dāng)/EA端口保持高電平時,此間內(nèi)部程序存儲器。在FLASH編程期間,此引腳也用于施加12V編程電源〔VPP〕;XTAL1:反向振蕩放大器的輸入及內(nèi)部時鐘工作電路的輸入;XTAL2:來自反向振蕩器的輸出。3.1.289C51的外部管腳封裝在硬件電路的實際連接中需要了解89C51的封裝管腳,我們在實際電路中一般采用的是PDIP(40pin)的雙排插封裝型號。如下列圖所示:圖89C52的實物圖封裝管腳圖如下:圖封裝管腳圖3.2單片機最小系統(tǒng)電路設(shè)計89C52單片機在工作的時候,對于整個主控芯片而言有一個工作最小系統(tǒng)電路,這個電路包括單片機時鐘電路和單片機復(fù)位電路。3.2.1單片機時鐘電路單片機工作的時間基準(zhǔn)是由時鐘電路提供的。在單片機的XTAL1和XTAL2這兩個管腳,接一只晶振及兩只電容就構(gòu)成了單片機的時鐘電路。電路中電容C1和C2對振蕩頻率有微調(diào)作用,通常的取值范圍為〔20—40〕pF。晶振是給單片機提供工作信號脈沖的,這個脈沖就是單片機的工作速度,比方12MHZ晶振。單片機工作速度就是每秒12M和電腦的CPU概念一樣。當(dāng)然.單片機的工作頻率是有范圍的不能太大,一般是到24MHZ就不上去了,所以本次設(shè)計使用的晶振選用12MHz的。時鐘電路如下列圖所示:時鐘電路3.2.2復(fù)位電路單片機的RST管腳為主機提供一個外部復(fù)位的信號輸入端口。復(fù)位電平是高電平有效,高電平持續(xù)的時間是應(yīng)為2個機器周期以上。單片機的復(fù)位方式有上電復(fù)位和手工復(fù)位兩種。下列圖所示是51系列單片機常用的上電復(fù)位和手工復(fù)位組合電路,只要電源上升時間不超過1ms,它們都能很好的工作。復(fù)位以后,單片機內(nèi)各部件恢復(fù)到初始狀態(tài)。復(fù)位電路3.3ADC0809轉(zhuǎn)換芯片介紹當(dāng)空氣中含有天然氣濃度范圍到達(dá)爆炸危險的混合物,與火源接觸時,即形成爆炸。天然氣濃度范圍稱為天然氣爆炸濃度極限,天然氣爆炸濃度極限為5%-15%。需要測試的甲烷、天然氣濃度范圍為300to10000ppm。而ADC0809其檢測精度為5/28根據(jù)上述檢測濃度,選擇8位的ADC0809作為A/D轉(zhuǎn)換芯片足可滿足要求。ADC0809是CMOS的8位模/數(shù)轉(zhuǎn)換器,采用逐次逼近原理進(jìn)行A/D轉(zhuǎn)換,芯片內(nèi)有模擬多路轉(zhuǎn)換開關(guān)和A/D轉(zhuǎn)換兩大局部,可對8路0~5V的輸入模擬電壓信號分時進(jìn)行轉(zhuǎn)換。模擬多路開關(guān)由8路模擬開關(guān)和3位地址鎖存譯碼器組成,可選通8路模擬輸入中的任何一路,地址鎖存信號ALE將3位地址信號ADDA、ADDB、ADDC進(jìn)行鎖存,然后由譯碼電路選通其中的一路,被選中的通道進(jìn)行A/D轉(zhuǎn)換。A/D轉(zhuǎn)換局部包括比擬器、逐次逼近存放器〔SAR〕、256R電阻網(wǎng)絡(luò)、樹狀電子開關(guān)、控制與時序電路等。另外ADC0809輸出具有TTL三態(tài)鎖存緩沖器,可直接連到CPU數(shù)據(jù)總線上。在實時控制與實時檢測系統(tǒng)中,被控制與被測量的電路往往是幾路或幾十路,對這些電路的參數(shù)進(jìn)行模/數(shù)、數(shù)/模轉(zhuǎn)換時,常采用公共的模數(shù)、數(shù)模轉(zhuǎn)換電路。因此,對各路進(jìn)行轉(zhuǎn)換是分時進(jìn)行的。此時,必須輪流切換各被測電路與模數(shù)、數(shù)模轉(zhuǎn)換電路之間的通道,以到達(dá)分時切換的功能。首先輸入地址選擇信號,在ALE信號作用下,地址信號被鎖存,產(chǎn)生譯碼信號,選中一路模擬量輸入。然后輸入啟動轉(zhuǎn)換控制信號START〔不小于100ns〕,啟動A/D轉(zhuǎn)換。轉(zhuǎn)換結(jié)束,數(shù)據(jù)送三態(tài)門鎖存,同時發(fā)出EOC信號,在允許輸出信號控制下,再將轉(zhuǎn)換結(jié)果輸出到外部數(shù)據(jù)總線。3.3.1管腳介紹下列圖3.3.1為ADC0809的管腳:圖3.3.1ADC0809的管腳ADC0809芯片有28條引腳,采用雙列直插式封裝,如下圖。下面說明各引腳功能;IN0~I(xiàn)N7:8路模擬量輸入端;2-1~2-8:8位數(shù)字量輸出端。ADDA、ADDB、ADDC:3位地址輸入線,用于選通8路模擬輸入中的一路,通道選擇如下表:表3.3.1CBA選擇的通道000IN0001IN1010IN2011IN3100IN4101IN5110IN6111IN7ALE:地址鎖存允許信號輸入,高電平有效;START:A/D轉(zhuǎn)換啟動脈沖輸入端,輸入一個正脈沖〔至少100ns寬〕使其啟動〔脈沖上升沿使0809復(fù)位,下降沿啟動A/D轉(zhuǎn)換〕;EOC:A/D轉(zhuǎn)換結(jié)束信號,輸出,當(dāng)A/D轉(zhuǎn)換結(jié)束時,此端輸出一個高電平〔轉(zhuǎn)換期間一直為低電平〕;OE:數(shù)據(jù)輸出允許信號輸入,高電平有效。當(dāng)A/D轉(zhuǎn)換結(jié)束時,此端輸入一個高電平,才能翻開輸出三態(tài)門,輸出數(shù)字量。3.3.2管腳封裝在實際焊接電路中采用的ADC0809芯片是雙排28管腳封裝的,其實物如下列圖3.2.2所示:圖ADC0809芯片封裝引腳圖如下:圖封裝引腳圖3.4ADC0809的局部電路首先ADC0809的工作過程如下:輸入3位地址,并使ALE=1,將地址存入地址鎖存器中。此地址經(jīng)譯碼選通8路模擬輸入之一到比擬器。START上升沿將逐次逼近存放器復(fù)位。START下降沿將啟動A/D轉(zhuǎn)換,之后EOC輸出信號變低,指示轉(zhuǎn)換正在進(jìn)行。直到A/D轉(zhuǎn)換完成,EOC變?yōu)楦唠娖?,指示A/D轉(zhuǎn)換結(jié)束,結(jié)果數(shù)據(jù)已存入鎖存器,這個信號可用作中斷申請。當(dāng)OE輸入高電平時,輸出三態(tài)門翻開,轉(zhuǎn)換結(jié)果的數(shù)字量輸出到數(shù)據(jù)總線上。轉(zhuǎn)換數(shù)據(jù)的傳送A/D轉(zhuǎn)換后得到的數(shù)據(jù)應(yīng)及時傳送給單片機進(jìn)行處理。數(shù)據(jù)傳送的關(guān)鍵問題是如何確認(rèn)A/D轉(zhuǎn)換的完成,因為只有確認(rèn)完成后,才能進(jìn)行傳送。為此可采用下述三種方式?!?〕定時傳送方式對于一種A/D轉(zhuǎn)換其來說,轉(zhuǎn)換時間作為一項技術(shù)指標(biāo)是的和固定的。例如ADC0809轉(zhuǎn)換時間為128μs,相當(dāng)于6MHz的MCS-51單片機共64個機器周期。可據(jù)此設(shè)計一個延時子程序,A/D轉(zhuǎn)換啟動后即調(diào)用此子程序,延遲時間一到,轉(zhuǎn)換肯定已經(jīng)完成了,接著就可進(jìn)行數(shù)據(jù)傳送?!?〕查詢方式A/D轉(zhuǎn)換芯片由說明轉(zhuǎn)換完成的狀態(tài)信號,例如ADC0809的EOC端。因此可以用查詢方式,測試EOC的狀態(tài),即可確認(rèn)轉(zhuǎn)換是否完成,并接著進(jìn)行數(shù)據(jù)傳送。〔3〕中斷方式將說明轉(zhuǎn)換完成的狀態(tài)信號〔EOC〕作為中斷請求信號,以中斷方式進(jìn)行數(shù)據(jù)傳送。不管使用上述那種方式,只要一旦確定轉(zhuǎn)換完成,即可通過指令進(jìn)行數(shù)據(jù)傳送。首先送出口地址并以信號有效時,OE信號即有效,把轉(zhuǎn)換數(shù)據(jù)送上數(shù)據(jù)總線,供單片機接受。ADC0809與單片機的連接電路如下:圖3.4ADC0809與單片機的連接電路3.5MQ-4傳感器介紹MQ-4傳感器對甲烷、天然氣有很高的靈敏度,對乙醇、煙霧的靈敏度很低,具有快速的響應(yīng)恢復(fù)特性。而且具有長期的使用壽命和可靠的穩(wěn)定性,驅(qū)動電路簡單。主要用于家庭,工業(yè)的甲烷,天然氣的探測裝置。3.5.1傳感器的規(guī)格表3.1標(biāo)準(zhǔn)工作條件符號參數(shù)名稱技術(shù)條件備注Vc回路電壓≤15VACorDCVH加熱電壓5.0V±0.2VACorDCRL負(fù)載電阻可調(diào)RH加熱電阻31Ω±3Ω室溫PH加熱功耗≤900mWB.環(huán)境條件符號參數(shù)名稱技術(shù)條件備注Tao使用溫度-10℃-50℃Tas儲存溫度-20℃-70℃Rh相對濕度小于95%RhO2氧氣濃度21%(標(biāo)準(zhǔn)條件)氧氣濃度會影響靈敏度特性最小值大于2%表3.2靈敏度特性符號參數(shù)名稱技術(shù)參數(shù)備注Rs敏感體外表電阻10KΩ-60KΩ(5000ppmCH4)適用范圍:300-10000ppm甲烷,天然氣。α(1000ppm/5000ppmCH4)濃度斜率≤0.6標(biāo)準(zhǔn)工作條件溫度:20℃±2℃Vc:5.0V±0.1V相對濕度:65%±5%Vh:5.0V±0.1V預(yù)熱時間不少于24小時表3.3結(jié)構(gòu),外形,測試電路部件材料1氣體敏感層二氧化錫2電極金〔Au〕3測量電極引線鉑〔Pt〕4加熱器鎳鉻合金〔Ni-Cr〕5陶瓷管三氧化二鋁6防爆網(wǎng)100目雙層不〔SUB316〕7卡環(huán)鍍鎳銅材〔Ni-Cu〕8基座膠木9針狀管腳鍍鎳銅材〔Ni-Cu〕圖圖3.5.1傳感器圖結(jié)構(gòu)AMQ-4氣敏元件的結(jié)構(gòu)和外形如圖1所示(結(jié)構(gòu)A或B),由微型AL2O3陶瓷管、SnO2敏感層,測量電極和加熱器構(gòu)成的敏感元件固定在塑料或不銹鋼制成的腔體內(nèi),加熱器為氣敏元件提供了必要的工作條件。封裝好的氣敏元件有6只針狀管腳,其中4個用于信號取出,2個用于提供加熱電流。E.靈敏度特性曲線MQ-4型氣敏元件的靈敏度特性圖3.5.2給出了MQ-4型氣敏元件的靈敏度特性。其中:溫度:20℃,相對濕度:65%,氧氣濃度:21%,RL=20kΩ,Rs:元件在不同氣體,不同濃度下的電阻值不同。R0:元件在潔凈空氣中的電阻值。圖圖MQ-4型元件溫濕度特性圖給出了MQ-4型氣敏元件的溫濕度特性。Ro:20℃,33%RH條件下,1000ppm甲烷中元件電阻。Rs:不同溫度,濕度下,1000ppm甲烷中元件電阻。.靈敏度調(diào)整:MQ-4型氣敏元件對不同種類,不同濃度的氣體有不同的電阻值。因此,在使用此類型氣敏元件時,靈敏度的調(diào)整是很重要的。我們建議您用5000ppm甲烷校準(zhǔn)傳感器。當(dāng)精確測量時,報警點的設(shè)定應(yīng)考慮溫濕度的影響。3.5.2傳感器的測量電路測量電路如下圖。圖.圖.3.5.4測量電路3.6液晶顯示電路本設(shè)計選用液晶顯示模塊TC1602來顯示垃圾填埋場現(xiàn)場氣體實時監(jiān)控。為了更好的說明,以下是1602的芯片說明。TC1602A是一種16字×2行的字符型液晶顯示模塊,其顯示面積為64.5*13.8mm2。3.6.1TC1602A的引腳功能TC1602A的引腳排列如下列圖所示,它有16個引腳可與外界相連,各引腳功能如下:LCD1602VSSVDDVORSRWED0D1D2D3D4D5D6D7AK1腳VSS:接地;2腳Vdd:接+5V電源;3腳VO:比照度調(diào)整端,LCD驅(qū)動電壓范圍為Vdd~VO。當(dāng)VO接地時,比照度最強;4腳RS:存放器選擇端,RS為0時,選擇命令存放器IR;RS為1時,選擇數(shù)據(jù)存放器DR;5腳:讀寫控制端,為1時,選擇讀出;為0時,那么選擇寫入;6腳Enable:使能控制端,Enable為1時,使能;Enable為0,禁止;E端為使能端,當(dāng)E端由高電平跳變成低電平時,液晶模塊執(zhí)行命令;7腳~14腳D0~D7:數(shù)據(jù)總線;15腳LED+:背景光源,接+5V;16腳LED-:背景光源,接地。3.6.2TC1602的控制指令TC1602A的控制指令共11條,其中9條是針對命令存放器IR的,另外2條是針對數(shù)據(jù)存放器DR的,具體指令如表3.2所列。需要說明的是:表中*表示可以為0或1,表中的相關(guān)命令字設(shè)置如下:I/D:1-增量方式,0-減量方式;S:1-不移動,0-移動;D:1-顯示,0-不顯示;C:1-顯示光標(biāo),0-不顯示光標(biāo);B:1-光標(biāo)閃爍,0-光標(biāo)不閃爍;S/C:1-顯示器位移,0-光標(biāo)位移;D/L:1-右移,0-左移;DL:1-8bit,4-4bit;N:1-2行,0-1行;F:1-5*10字型,0-5*7字型;BF:1-正在執(zhí)行內(nèi)部操作,0-可接收指令。表3.4TC1602A的指令表指令指令碼說明執(zhí)行周期RSR/WD7D6D5D4D3D2D1D0清屏00000000011.64us光標(biāo)返回000000001*光標(biāo)回到顯示器的左上方1.64us輸入方式00000001I/DS是否移動及移動方向40us顯示器開關(guān)控制0000001R/LCBD:顯示,C:光標(biāo),B:光標(biāo)閃爍40us移位000001S/C**移動光標(biāo)及整體顯示40us功能設(shè)置00001DLN**DL:數(shù)據(jù)位數(shù),L:行數(shù),F(xiàn):字型40usCGRAM地址設(shè)置0001AddressofCGRAM設(shè)置CGRAM的地址40usDDRAM地址設(shè)置001AddressofDDRAM設(shè)置DDRAM的地址40us忙標(biāo)志/讀地址計數(shù)器01BF地址計數(shù)器〔AC)之值讀出忙標(biāo)志位BF和AC值0CGRAM/DDRAM數(shù)據(jù)寫10寫數(shù)據(jù)將內(nèi)容寫入RAM40usCGRAM/DDRAM數(shù)據(jù)讀11讀數(shù)據(jù)將內(nèi)容從RAM讀出40us3.6.3LCD的連接電路電信號經(jīng)調(diào)理電路后輸出給單片機的A/D轉(zhuǎn)換端口,經(jīng)計算和處理后以ppm顯示在液晶顯示模塊TCl602A上面。其與單片機連接電路如下列圖所示:連接電路3.7聲光報警電路光報警電路在單片機P3口的控制下,可以根據(jù)不同情況發(fā)出不同的光報警信號。由單片機的P3.3和P3.4控制可燃?xì)怏w濃度,當(dāng)正常濃度時P3.3控制的燈亮,當(dāng)濃度超標(biāo)時,P3.4控制的燈亮,進(jìn)行報警。同理聲音報警電路也是在單片機的P3口控制下,當(dāng)濃度超標(biāo)時,P3.5控制的蜂鳴器鳴響,進(jìn)行報警。如下列圖3.7所示:圖3.7.1光報警電路圖3.7.2蜂鳴器電路4系統(tǒng)軟件設(shè)計4.1系統(tǒng)總體軟件設(shè)計流程系統(tǒng)復(fù)位后,首先進(jìn)行定時器初始化,液晶模塊初始化,串行口初始化。然后啟動A/D轉(zhuǎn)換通道,讀取轉(zhuǎn)換結(jié)果,重新標(biāo)度變換,并且保存數(shù)值結(jié)果。如果接收到上位機發(fā)來的信號,就將對應(yīng)的濃度值傳送給上位機進(jìn)行后續(xù)處理,其具體系統(tǒng)設(shè)計流程見下列圖:開始開始定時器初始化,液晶初始化定時器初始化,液晶初始化啟動啟動0A/D轉(zhuǎn)換,讀取轉(zhuǎn)換結(jié)果,標(biāo)度變換,保存數(shù)值在液晶上顯示當(dāng)前濃度在液晶上顯示當(dāng)前濃度對應(yīng)的報警燈亮判斷濃度是否超標(biāo)對應(yīng)的報警燈亮判斷濃度是否超標(biāo)是否圖4.1系統(tǒng)設(shè)計流程圖4.2軟件開發(fā)工具的介紹本次垃圾填埋場有害氣體檢測系統(tǒng)設(shè)計的軟件局部是基于軟件開發(fā)工具KEILC實現(xiàn)的。KEILC51是美國KEILSoftware公司出品的51系列兼容單片機C語言軟件開發(fā)系統(tǒng),與匯編相比,C語言在功能上、結(jié)構(gòu)性、可讀性、可維護(hù)性上有明顯的優(yōu)勢,因而易學(xué)易用。KEIL提供了包括C編譯器、宏匯編、連接器、庫管理和一個功能強大的仿真調(diào)試器等在內(nèi)的完整開發(fā)方案,通過一個集成開發(fā)環(huán)境〔uVision〕將這些局部組合在一起。運行KEIL軟件需要WIN98、NT、WIN2000、WINXP等操作系統(tǒng)。KEIL公司是一家業(yè)界領(lǐng)先的微控制器〔MCU〕軟件開發(fā)工具的獨立供給商。KEIL公司由兩家私人公司聯(lián)合運營,分別是德國慕尼黑的KEILElektronikGmbH和美國德克薩斯的KEILSoftwareInc。KEIL公司制造和銷售種類廣泛的開發(fā)工具,包括ANSIC編譯器、宏匯編程序、調(diào)試器、連接器、庫管理器、固件和實時操作系統(tǒng)核心(real-timekernel)。有超過10萬名微控制器開發(fā)人員在使用這種得到業(yè)界認(rèn)可的解決方案。其KEILC51編譯器自1988年引入市場以來成為事實上的行業(yè)標(biāo)準(zhǔn),并支持超過500種8051變種。KEIL公司在2007年被ARM公司收購。其兩家公司分別更名為ARMGermanyGmbH和ARMInc和。KEIL公司首席執(zhí)行官ReinhardKEIL表示:“作為ARMConnectedCommunity中的一員,KEIL和ARM保持著長期的良好關(guān)系。通過這次收購,我們將能更好地向高速開展的32位微控制器市場提供完整的解決方案,同時繼續(xù)在uVision環(huán)境下支持我們的8051和C16x編譯器。〞4.2.1KEIL系統(tǒng)概述KEILC51軟件提供豐富的庫函數(shù)和功能強大的集成開發(fā)調(diào)試工具,全Windows界面。另外重要的一點,只要看一下編譯后生成的匯編代碼,就能體會到KEILC51生成的目標(biāo)代碼效率非常之高,多數(shù)語句生成的匯編代碼很緊湊,容易理解。在開發(fā)大型軟件時更能表達(dá)高級語言的優(yōu)勢。下面詳細(xì)介紹KEILC51開發(fā)系統(tǒng)各局部功能和使用。1.KEILC51單片機軟件開發(fā)系統(tǒng)的整體結(jié)構(gòu)C51工具包的整體結(jié)構(gòu),uVision與Ishell分別是C51forWindows和forDos的集成開發(fā)環(huán)境(IDE),可以完成編輯、編譯、連接、調(diào)試、仿真等整個開發(fā)流程。開發(fā)人員可用IDE本身或其它編輯器編輯C或匯編源文件。然后分別由C51及C51編譯器編譯生成目標(biāo)文件(.OBJ)。目標(biāo)文件可由LIB51創(chuàng)立生成庫文件,也可以與庫文件一起經(jīng)L51連接定位生成絕對目標(biāo)文件(.ABS)。ABS文件由OH51轉(zhuǎn)換成標(biāo)準(zhǔn)的Hex文件,以供調(diào)試器dScope51或tScope51使用進(jìn)行源代碼級調(diào)試,也可由仿真器使用直接對目標(biāo)板進(jìn)行調(diào)試,也可以直接寫入程序存貯器如EPROM中。2.KEILC51單片機軟件開發(fā)系統(tǒng)優(yōu)點:〔1〕KEILC51生成的目標(biāo)代碼效率非常之高,多數(shù)語句生成的匯編代碼很緊湊,容易理解。在開發(fā)大型軟件時更能表達(dá)高級語言的優(yōu)勢?!?〕與匯編相比,C語言在功能上、結(jié)構(gòu)性、可讀性、可維護(hù)性上有明顯的優(yōu)勢,因而易學(xué)易用。用過匯編語言后再使用C來開發(fā),體會更加深刻。KEILC51軟件提供豐富的庫函數(shù)和功能強大的集成開發(fā)調(diào)試工具,全Windows界面,如下列圖4.3。圖4.2.1KEIL操作界面5檢測系統(tǒng)電路的仿真5.1軟件仿真本次仿真采用PROTEUS軟件進(jìn)行電路局部仿真。Proteus軟件是英國Labcenterelectronics公司出版的EDA工具軟件〔該軟件中國總代理為廣州風(fēng)標(biāo)電子技術(shù)〕。它不僅具有其它EDA工具軟件的仿真功能,還能仿真單片機及外圍器件。它是目前最好的仿真單片機及外圍器件的工具。雖然目前國內(nèi)推廣剛起步,但已受到單片機愛好者、從事單片機教學(xué)的教師、致力于單片機開發(fā)應(yīng)用的科技工作者的青睞。Proteus是世界上著名的EDA工具(仿真軟件),從原理圖布圖、代碼調(diào)試到單片機與外圍電路協(xié)同仿真,一鍵切換到PCB設(shè)計,真正實現(xiàn)了從概念到產(chǎn)品的完整設(shè)計。是目前世界上唯一將電路仿真軟件、PCB設(shè)計軟件和虛擬模型仿真軟件三合一的設(shè)計平臺,其處理器模型支持8051、HC11、PIC10/12/16/18/24/30/DsPIC33、AVR、ARM、8086和MSP430等,2023年又增加了Cortex和DSP系列處理器,并持續(xù)增加其他系列處理器模型。在編譯方面,它也支持IAR、KEIL和MPLAB等多種編譯器Proteus軟件具有其它EDA工具軟件〔例:multisim〕的功能。這些功能是:原理布圖,PCB自動或人工布線,SPICE電路仿真。5.1.1PROTEUS軟件與KEIL軟件的聯(lián)調(diào)嵌入式大多都會使用Proteus來仿真,每次都先用KEIL把程序編譯好才加載到Isis中的,現(xiàn)在可以將Proteus與KEIL聯(lián)機結(jié)合起來調(diào)試下載dm51.dll,把它拷貝到你KEIL的安裝目錄下路徑是X:\KEIL\c51\bin\。然后修改KEIL的tools.ini文件了,tools.ini文件就在KEIL安裝文件夾下,在[c51]那欄添加TDRV0=BIN\VDM51.DLL("PROTEUSVSMMONITOR51DRIVER")。然后就可以運行KEIL。現(xiàn)在設(shè)置ISIS,中文版就是選中:調(diào)試\使用遠(yuǎn)程調(diào)試監(jiān)控。然后翻開KEIL軟件中的工程,設(shè)置optionfor‘Target1’,在debug一項中選擇ProteusDebug,即完成了聯(lián)調(diào)的設(shè)置。設(shè)置完成后第一次的程序下載方式,如下列圖所示:圖5.1.1調(diào)試程序圖5.1.2軟件仿真過程A/D轉(zhuǎn)換仿真圖,由于Proteus里沒有傳感器模型,所以用一個0-5V直流電壓表接滑動變阻器代替?zhèn)鞲衅鞯?-5V的電壓的輸出,進(jìn)行A/D轉(zhuǎn)換過程的仿真,下面是調(diào)節(jié)調(diào)動變阻器時,A/D轉(zhuǎn)換得到的不同值,存在微小的誤差。下列圖所顯示的電路仿真是濃度正常的仿真:.1電路仿真圖當(dāng)電路進(jìn)行報警時的電路:.2報警電路圖5.2硬件實物圖實際電路檢測正常時:實際電路圖實際電路檢測報警時:電路報警圖6.總結(jié)本次設(shè)計實現(xiàn)對可燃?xì)怏w的檢測的目的,以89C52單片機為重要控制芯片,通過MQ-4傳感器對天然氣濃度進(jìn)行采集,然后經(jīng)過AD數(shù)模轉(zhuǎn)換電路,送入單片機。整個設(shè)計中包括了傳感器調(diào)理電路,ADC數(shù)模轉(zhuǎn)換電路,LCD1602液晶顯示電路,單片機最小系統(tǒng)電路。在設(shè)計開始時,先使用Proteus軟件進(jìn)行硬件電路設(shè)計。完成了硬件設(shè)計后,對單片機和ADC轉(zhuǎn)換模塊進(jìn)行軟件編程。在仿真時,由于Proteus軟件中沒有對應(yīng)的MQ-4傳感器模塊,采用0-5V的電源模擬傳感器的輸入。仿真通過后,開始實際電路的搭構(gòu)。本系統(tǒng)使用面向?qū)ο蟮木幊蘇EIL和PROTEUS進(jìn)行設(shè)計,解決了傳感信號放大,解決模擬信號的轉(zhuǎn)換問題,完成了系統(tǒng)的綜合測試。該系統(tǒng)采用常見的應(yīng)用電路,結(jié)構(gòu)設(shè)計簡單,根本實現(xiàn)了對可燃?xì)怏w的檢測,但是檢測精度不高。日后需要在此電路的根底上進(jìn)行進(jìn)一步的優(yōu)化,提高檢測精度,優(yōu)化系統(tǒng)結(jié)構(gòu),以實現(xiàn)更好的功能。致謝本論文是在孫海珺老師的悉心指導(dǎo)下完成的。孫老師淵博的專業(yè)知識,嚴(yán)謹(jǐn)?shù)闹螌W(xué)態(tài)度,精益求精的工作作風(fēng),誨人不倦的高尚師德,嚴(yán)以律己、寬以待人的崇高風(fēng)范,樸實無華、平易近人的人格魅力對我影響深遠(yuǎn)。不僅使我樹立了遠(yuǎn)大的學(xué)術(shù)目標(biāo)、掌握了根本的研究方法,還使我明白了許多待人接物與為人處世的道理。我的畢業(yè)課題是室內(nèi)空氣可燃?xì)怏w檢測系統(tǒng)的設(shè)計,是一個實際的小工程。作為一個本科生,我對實際的工程設(shè)計認(rèn)識不夠,經(jīng)驗缺乏,難免在設(shè)計的整體框架中,有很多的細(xì)節(jié)沒有考慮。我們的指導(dǎo)老師孫老師并沒有指責(zé),而是給予我們鼓勵和很多珍貴的建議,并且悉心引導(dǎo),給予我一個比擬清晰的設(shè)計思路。我們沿著這條經(jīng)驗之路,不斷地嘗試摸索,慢慢地也掌握了設(shè)計的根本流程和思考的方法。我們遇到了很多的難題,比方硬件器件的選擇,功能的實現(xiàn)等。然而這樣的問題并不是我一個能所能解決的,幸運的是有我們的指導(dǎo)老師的悉心指導(dǎo)和小組伙伴的全心幫助,所以一個個看似復(fù)雜的問題便迎刃而解。最后我還要再次深深地感謝孫海珺老師,正是基于孫老師的悉心指點和大家的全心的幫助,我才能比擬順利地完成畢業(yè)設(shè)計。謝謝你們!參考文獻(xiàn)何立民.MCS-51系列單片機應(yīng)用設(shè)計[M].系統(tǒng)配置與接口技術(shù).郭強.液晶顯示應(yīng)用技術(shù)[M].北京:電子工業(yè)出版社,2000年.新民.智能儀器原理及設(shè)計[M].哈爾濱工業(yè)大學(xué)出版社,1995.華成英,童詩白.模擬電子技術(shù)根底第三版[M].北京:高等教育出版社,2004.4.馬忠梅等.單片機的C語言應(yīng)用程序設(shè)計[M].北京:北京航空航天大學(xué)出版社,1997李剛,林凌,王焱.新概念單片機教程[M].天津大學(xué)出版社,2004.彭愛華.單片機高級語言C51應(yīng)用程序設(shè)計[M].北京工業(yè)出版社,1999.何立民.MCS-51系列單片機應(yīng)用設(shè)計[M].系統(tǒng)配置與接口技術(shù).吳運昌.模擬集成電路原理與應(yīng)用[M].華南理工大學(xué)出版社,1995.康華光.電子技術(shù)根底[M].高教出版社2023.夏繼強.單片機實驗與實踐教程[M].北京航空航天大學(xué)出版社,2001.R.C.etal.DynamicMulti-sensorDataFusionSystemforIntelligentRoboticsandAutomation[M],1998.徐惠民,安德寧單片微型計算機原理接口與應(yīng)用[M].北京郵電大學(xué)出版社,1996.陳堅,李曦,黃櫻51單片機最極小化應(yīng)用系統(tǒng)的Proteus仿真[J].電腦知識與技術(shù),2023〔18〕.董軍.堂張玉.強陳晨.單片機編程能力培養(yǎng)工程設(shè)計硬件局部[J].價值工程,2023,30(15).田立,馬鳴鶴.51系列單片機開發(fā)實例精解[M].北京:中國電力出版社,2023:129-133.R.Bayindir,H.Ates.Low-costandhighsensitivelymicrocontrollerbasedcontrolunitforafrictionweldingmachine[J].JournalofMaterialsProcessingTechnology.2007,189(1-3).RobertCravotta.Customizablemicrocontroller,USD7.5,000NREcoststarget10,000-un

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論