電子科大微機(jī)原理-第2章_第1頁
電子科大微機(jī)原理-第2章_第2頁
電子科大微機(jī)原理-第2章_第3頁
電子科大微機(jī)原理-第2章_第4頁
電子科大微機(jī)原理-第2章_第5頁
已閱讀5頁,還剩60頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

第二章計算機(jī)系統(tǒng)的結(jié)構(gòu)組成與工作原理2.1計算機(jī)系統(tǒng)的基本結(jié)構(gòu)與組成層次模型

Hierarchy結(jié)構(gòu)Architecture、組成Organization與實(shí)現(xiàn)Realization2.2計算機(jī)系統(tǒng)的工作原理馮·諾依曼計算機(jī)架構(gòu)模型機(jī):系統(tǒng)結(jié)構(gòu)、指令集、工作流程2.3計算機(jī)體系結(jié)構(gòu)的改革改進(jìn):指令集(RISC/CISC)、分層存儲器、高速總線/接口改變:多種并行技術(shù):流水線、超標(biāo)量、多機(jī)/核、多線程2.4計算機(jī)體系結(jié)構(gòu)分類2.5計算機(jī)性能評測Performance

字長、存儲容量、運(yùn)算速度2.6習(xí)題2023/12/271/50Flynn(a)軟硬件層次(b)語言層次計算機(jī)系統(tǒng)的層次結(jié)構(gòu)(a)圖自下而上反映了系統(tǒng)逐級生成的過程,自上而下反映了系統(tǒng)求解問題的過程;(b)圖中的虛擬機(jī):與某種特殊編程語言對應(yīng)的假想硬件機(jī)器軟硬件的邏輯等價性可以表現(xiàn)為:硬件軟化(如RISC思想)、軟件硬化(如CISC思想)、固件化(如微程序);微體系結(jié)構(gòu)層(微程序或硬連邏輯)操作系統(tǒng)層語言處理層(解釋、編譯)用戶程序?qū)樱ㄕZ言編程)系統(tǒng)分析層(數(shù)學(xué)模型、算法)硬核級數(shù)字邏輯層(硬件)指令系統(tǒng)層(機(jī)器語言指令)應(yīng)用語言虛擬機(jī)高級語言虛擬機(jī)匯編語言虛擬機(jī)操作系統(tǒng)虛擬機(jī)機(jī)器語言級微程序級寄存器級(硬件)硬件系統(tǒng):異常處理機(jī)構(gòu)、指令系統(tǒng)、CPU、存儲器、I/O及通信子系統(tǒng)系統(tǒng)軟件:操作系統(tǒng)、編譯器、數(shù)據(jù)庫管理系統(tǒng)、Web瀏覽器、設(shè)備驅(qū)動、中斷服務(wù)程序應(yīng)用軟件計算機(jī)發(fā)展過程中的重大技術(shù)演變在指令層和執(zhí)行程序的數(shù)字邏輯層兩層基礎(chǔ)上增加微程序控制層增加操作系統(tǒng)層(大大降低了大多數(shù)程序員的編程難度)虛擬機(jī)的出現(xiàn)(使得在一個操作系統(tǒng)下同時運(yùn)行另一個操作系統(tǒng)成為現(xiàn)實(shí))后期出現(xiàn)的RISC思想(不使用微代碼層)計算機(jī)分層的作用可以調(diào)整軟、硬件比例達(dá)到特定目的可以通過使用真正的物理機(jī)器代替各級虛擬機(jī)也可以在一臺物理機(jī)器上模擬或仿真另一臺機(jī)器計算機(jī)體系結(jié)構(gòu):是程序員所看到的計算機(jī)(機(jī)器語言級)的屬性,即概念性結(jié)構(gòu)與功能特性。計算機(jī)組成:從硬件角度關(guān)注物理機(jī)器的各部件的功能以及各部件的聯(lián)系。對程序員是透明的。計算機(jī)實(shí)現(xiàn):指的是計算機(jī)組成的物理實(shí)現(xiàn),包括處理機(jī)、主存等部件的物理結(jié)構(gòu),器件的集成度和速度;系列機(jī)2023/12/275/36計算機(jī)體系結(jié)構(gòu)、組成與實(shí)現(xiàn)1.計算機(jī)體系結(jié)構(gòu)是人眼看不見的東西,而計算機(jī)組成是人眼可見的2.計算機(jī)組成是計算機(jī)的外部,是使用人員所關(guān)心的系統(tǒng)硬件指標(biāo)參數(shù);而計算機(jī)實(shí)現(xiàn)是計算機(jī)的內(nèi)部,是制造人員關(guān)心的內(nèi)容計算機(jī)的體系結(jié)構(gòu)

1946年,美國賓夕法尼亞大學(xué)莫爾學(xué)院的物理學(xué)博士Mauchley和電氣工程師Eckert領(lǐng)導(dǎo)的小組研制成功世界上第一臺數(shù)字式電子計算機(jī)ENIAC

。著名的美籍匈牙利數(shù)學(xué)家VonNeumann參加了為改進(jìn)ENIAC而舉行的一系列專家會議,研究了新型計算機(jī)的體系結(jié)構(gòu)。

1949年,英國劍橋大學(xué)的威爾克斯等人在EDSAC

機(jī)上實(shí)現(xiàn)了馮·諾依曼模式。直至今天馮·諾依曼體系結(jié)構(gòu)依然是絕大多數(shù)數(shù)字計算機(jī)的基礎(chǔ)。2023/12/276/50注意:同一體系結(jié)構(gòu)的計算機(jī),不管其組成和實(shí)現(xiàn)如何變化,在代碼級是完全兼容的總線(接口)+CPU+存儲器+In/Out設(shè)備2023/12/277/267/30計算機(jī)組成同步數(shù)字系統(tǒng)的內(nèi)部結(jié)構(gòu)2023/12/278/30計算機(jī)實(shí)現(xiàn)【例1】①確定是否有乘法指令屬于。

②乘法指令是用專門的乘法器實(shí)現(xiàn),還是經(jīng)加法器用重復(fù)的相加和右移操作來實(shí)現(xiàn),屬于。

③乘法器、加法器的物理實(shí)現(xiàn),如器件的選定(器件集成度、類型、數(shù)量、價格)及所用微組裝技術(shù)等,屬于計算機(jī)體系結(jié)構(gòu)計算機(jī)組成計算機(jī)實(shí)現(xiàn)計算機(jī)體系結(jié)構(gòu)、組成及實(shí)現(xiàn)區(qū)分【例2】①主存容量與編址方式(按位、按字節(jié)、按字訪問等)的確定屬于

。

②為達(dá)到所定性能價格比,主存速度應(yīng)多快,在邏輯結(jié)構(gòu)上需采用什么措施(如多體交叉存儲等)屬于

。

③主存系統(tǒng)的物理實(shí)現(xiàn),如存儲器器件的選定、邏輯電路的設(shè)計、微組裝技術(shù)的選定屬于

。計算機(jī)體系結(jié)構(gòu)計算機(jī)組成計算機(jī)實(shí)現(xiàn)可以看出,具有相同計算機(jī)系統(tǒng)結(jié)構(gòu)(如指令系統(tǒng)相同)的計算機(jī)因?yàn)樗俣纫蟛煌纫蛩乜梢圆捎貌煌挠嬎銠C(jī)組成。

同樣,一種計算機(jī)組成可以采用多種不同的計算機(jī)實(shí)現(xiàn)。例如,主存器件可以采用SRAM芯片,也可以采用DRAM芯片??梢圆捎么笠?guī)模集成電路單個芯片,也可以采用中小規(guī)模集成電路進(jìn)行構(gòu)建。這取決于性能價格比的要求與器件技術(shù)的現(xiàn)狀。計算機(jī)系統(tǒng)結(jié)構(gòu)、組成和實(shí)現(xiàn)三者的相互影響硬件組成五大部分

運(yùn)算器、控制器、存儲器、輸入設(shè)備、輸出設(shè)備以運(yùn)算器為中心(現(xiàn)在以存儲器為中心)信息表示:二進(jìn)制

計算機(jī)內(nèi)部的控制信息和數(shù)據(jù)信息均采用二進(jìn)制表示,并存放在同一個存儲器中。工作原理:存儲程序/指令(控制)驅(qū)動

編制好的程序(包括指令和數(shù)據(jù))預(yù)先經(jīng)由輸入設(shè)備輸入并保存在輔助存儲器中;程序開始運(yùn)行時,計算機(jī)在不需要人工干預(yù)的情況下由控制器自動、高速地依次從存儲器中取出指令并加以執(zhí)行。2023/12/2712/81馮·諾依曼體系結(jié)構(gòu)早期的計算機(jī)結(jié)構(gòu)(無總線)各組成部分之間通過芯片引腳直接連接模型機(jī)體系結(jié)構(gòu)基于總線的馮·諾依曼架構(gòu)模型機(jī)總線子系統(tǒng):作為公共通道連接各子部件,用于實(shí)現(xiàn)各部件之間的數(shù)據(jù)、信息等的傳輸和交換CPU子系統(tǒng):集成了運(yùn)算器、控制器和寄存器的超大規(guī)模集成電路芯片(VLSI)存儲器子系統(tǒng):用來存放當(dāng)前的運(yùn)行程序和數(shù)據(jù)輸入輸出子系統(tǒng):用于完成計算機(jī)與外部的信息交換2023/12/2714/50模型機(jī)總線結(jié)構(gòu)按傳輸信息的不同,可將總線分為地址總線AB、控制總線CB和數(shù)據(jù)總線DB三類:地址總線通常是單向的,由主設(shè)備(如CPU)發(fā)出,用于選擇讀寫對象(如某個特定的存儲單元或外部設(shè)備);數(shù)據(jù)總線用于數(shù)據(jù)交換,通常是雙向的;控制總線包括真正的控制信號線(如讀/寫信號)和一些狀態(tài)信號線(如是否已將數(shù)據(jù)送上總線),用于實(shí)現(xiàn)對設(shè)備的監(jiān)視和控制。MPURAMROMI/O接口外設(shè)ABDBCB2023/12/2715/50模型機(jī)內(nèi)存儲器存儲器組織由許多字節(jié)單元組成,每個單元都有一個唯一的編號(存儲單元地址),保存的信息稱為存儲單元內(nèi)容。訪問(讀或?qū)?存儲單元:存儲單元地址經(jīng)地址譯碼后產(chǎn)生相應(yīng)的選通信號,同時在控制信號的作用下讀出存儲單元內(nèi)容到數(shù)據(jù)緩沖器,或?qū)?shù)據(jù)緩沖器中的內(nèi)容寫入選定的單元。DBABCB算術(shù)邏輯單元ALU累加器ACC累加鎖存器暫存器標(biāo)志寄存器FR通用寄存器組堆棧指針SP程序計數(shù)器PC微操作控制電路指令譯碼器ID指令寄存器IR

操作碼,地址碼脈沖分配器時鐘脈沖源控制總線CB地址總線AB數(shù)據(jù)總線DB內(nèi)部總線地址緩沖器數(shù)據(jù)緩沖器運(yùn)算器寄存器組控制器模型機(jī)CPU子系統(tǒng)2023/12/2717/50數(shù)據(jù)信息狀態(tài)信息控制信息數(shù)字量模擬量開關(guān)量連續(xù)幾位二進(jìn)制形式表示的數(shù)或字符。如鍵盤輸入的信息以及打印機(jī)、顯示器輸出的信息等時間上連續(xù)變化的量,如溫度、壓力、流量等只有兩個狀態(tài)的量,如閥門的合與斷、電路的開與關(guān)等CPU與I/O設(shè)備之間的接口信息反映外設(shè)當(dāng)前工作狀態(tài)的信息READY信號:輸入設(shè)備是否準(zhǔn)備好BUSY信號:輸出設(shè)備是否忙……CPU向外部設(shè)備發(fā)送的控制命令信息讀寫控制信號時序控制信號中斷信號片選信號其它操作信號數(shù)據(jù)、狀態(tài)、控制信息都是通過CPU的數(shù)據(jù)總線傳送,存在I/O接口的不同端口中:數(shù)據(jù)、狀態(tài)、控制端口模型機(jī)指令系統(tǒng)

指令是發(fā)送到CPU的命令,指示CPU執(zhí)行一個特定的處理。CPU可以處理的全部指令集合稱為指令集。指令集結(jié)構(gòu)(ISA)是體系結(jié)構(gòu)的主要內(nèi)容之一。ISA功能設(shè)計實(shí)際就是確定軟硬件的功能分配。

指令通常包含操作碼和操作數(shù)兩部分。操作碼指明要完成操作的性質(zhì),如加、減、乘、除、數(shù)據(jù)傳送、移位等;操作數(shù)指明參加上述規(guī)定操作的數(shù)據(jù)或數(shù)據(jù)所存放的地址。匯編語言源程序機(jī)器語言程序(目標(biāo)代碼)匯編(匯編程序)高級語言源程序編譯或解釋(編譯程序)

例:

MOV

R0,#2二進(jìn)制操作碼助記符:與動作一一對應(yīng)目/源操作數(shù):操作碼:由CPU設(shè)計人員定義,具有固定的寫法和意義。操作數(shù):可由編程人員采用不同方式給出。;注釋指令舉例ADDR0,R1,R2

;R0R1+R2

模型機(jī)工作原理計算機(jī)的工作本質(zhì)上就是執(zhí)行程序的過程。指令執(zhí)行的基本過程可以分為取指令(fetch)、分析指令(decode)和執(zhí)行指令(execute)三個階段。①取指令當(dāng)程序已在存儲器中時,首先根據(jù)程序入口地址取出一條程序,為此要發(fā)出指令地址及控制信號②分析指令即指令譯碼,是指對當(dāng)前取得的指令進(jìn)行分析,指出它要求什么操作,并產(chǎn)生相應(yīng)的操作控制命令。③執(zhí)行指令根據(jù)分析指令時產(chǎn)生的“操作命令”形成相應(yīng)的操作控制信號序列,通過運(yùn)算器、存儲器及輸入/輸出設(shè)備的執(zhí)行,實(shí)現(xiàn)每條指令的功能,其中包括對運(yùn)算結(jié)果的處理以及下條指令地址的形成。PC值由操作系統(tǒng)初始化為程序的入口地址(c語言中是main函數(shù)第一行)計算機(jī)完成計算的過程分析目的:計算0x10和0x20之和編寫匯編程序代碼,關(guān)鍵代碼如下:

MOVA,#0x10;A=0x10,

A為CPU內(nèi)部的累加寄存器ADDA,#0x20;

A=A+0x20編譯、鏈接后得到的可執(zhí)行代碼(二進(jìn)制位串)運(yùn)行(把保存在硬盤上的可執(zhí)行文件調(diào)入內(nèi)存,并把程序指令在內(nèi)存的開始位置賦值給CPU中的PC寄存器)以后的計算工作就交給CPU(指令驅(qū)動)B0H10H04H20H程序的執(zhí)行過程取指令、分析指令、執(zhí)行指令CBABDBALU累加器ACC暫存器標(biāo)志寄存器FR寄存器組

操作控制器OC指令譯碼器ID指令寄存器IR

操作碼,地址碼內(nèi)部總線地址緩沖器數(shù)據(jù)緩沖器程序計數(shù)器PC地址譯碼讀控制B0H10H04H20H地址1001H1002H1003H內(nèi)容1000H內(nèi)存儲器+NCPU外CPU內(nèi)①②③④⑤⑥①②③④⑤⑥①③④⑤⑥②①②③④⑤⑥⑦⑧匯編鏈接后的可執(zhí)行文件調(diào)入內(nèi)存儲器,PC賦值1000HMOVA,#0x10ADDA,#0x20匯編源代碼N表示CPU的數(shù)據(jù)總線寬度(以字節(jié)為單位),此處N=1改進(jìn)指令集

(指令功能、指令格式、尋址方式)存儲器子系統(tǒng)(4層結(jié)構(gòu))高速總線成為計算機(jī)系統(tǒng)的核心改變1.改變串行執(zhí)行模式,發(fā)展并行技術(shù);2.改變控制驅(qū)動方式,發(fā)展數(shù)據(jù)驅(qū)動、需求驅(qū)動、模式驅(qū)動等其它驅(qū)動方式;3到6章重點(diǎn)2023/12/2724/81不同的指令集設(shè)計策略:CISC與RISCCISC(ComplexInstructionSetComputer,復(fù)雜指令集計算機(jī))不斷增強(qiáng)指令的功能以及設(shè)置更復(fù)雜的新指令取代原先由程序段完成的功能,從而實(shí)現(xiàn)軟件功能的硬化。RISC(ReducedInstructionSetComputer,精簡指令集計算機(jī))通過減少指令種類和簡化指令功能來降低硬件設(shè)計復(fù)雜度,從而提高指令的執(zhí)行速度。*25/86CISC:如IntelCPU、RISC如ARM處理器2023/12/2725/502023/12/2726/50CISC的特點(diǎn)及設(shè)計思想美國加州大學(xué)Berkeley分校的研究結(jié)果表明:許多復(fù)雜指令很少被使用,“2-8原則”控制器硬件復(fù)雜(指令多,且具有不定長格式和復(fù)雜的數(shù)據(jù)類型),占用了大量芯片面積,且容易出錯;指令操作繁雜,速度慢;指令規(guī)整性不好,不利用采用流水線技術(shù)提高性能。*26/68宏代碼到微代碼的轉(zhuǎn)換序號代碼1

c=(a+b)*(c+d);2

……3

c=a*b*c*d451000:a1=a+b1001:a2=c+d1002:c=a1*a2;……………..2000:a1=a*b;2001:a2=c*d;2002:c=a1*a2;………….CPU內(nèi)微碼存儲器宏指令(程序員編寫)2023/12/2728/50RISC的特點(diǎn)及設(shè)計思想

RISC機(jī)的設(shè)計應(yīng)當(dāng)遵循以下五個原則:①指令條數(shù)少,格式簡單,易于譯碼,不提供復(fù)雜指令;②提供足夠的寄存器,只允許load

和store指令訪問內(nèi)存;③指令由硬件直接執(zhí)行,

在單個周期內(nèi)完成;④充分利用流水線;⑤依賴優(yōu)化編譯器的作用;;

*28/68CISC:優(yōu)點(diǎn):指令越多功能越強(qiáng),強(qiáng)調(diào)代碼效率,容易和高級語言接軌??芍苯訉?shí)現(xiàn)處理器和存儲器之間的數(shù)據(jù)轉(zhuǎn)移。缺點(diǎn):指令集以及芯片的設(shè)計比上一代產(chǎn)品更復(fù)雜,不同的指令,需要不同的時鐘周期來完成,執(zhí)行較慢的指令,將影響整臺機(jī)器的執(zhí)行效率。RISC:優(yōu)點(diǎn):指令少容易記憶,盡量將操作碼和操作數(shù)用1個16位數(shù)或32位數(shù)表示,指令整齊。CPU時鐘頻率可以做得很高,指令執(zhí)行速度快。缺點(diǎn):同樣功能的程序,產(chǎn)生的代碼量比較大,必須合理地選擇編譯器?,F(xiàn)代計算機(jī):RISC+CISC分層的存儲子系統(tǒng)如何以合理的價格搭建出容量和速度都滿足要求的存儲系統(tǒng),始終是計算機(jī)體系結(jié)構(gòu)設(shè)計中的關(guān)鍵問題之一。現(xiàn)代計算機(jī)系統(tǒng)通常把不同的存儲設(shè)備按一定的體系結(jié)構(gòu)組織起來,以解決存儲容量、存取速度和價格之間的矛盾。設(shè)計目標(biāo):整個存儲系統(tǒng)速度接近M1而價格和容量接近Mn2023/12/2730/50其他改善存儲器帶寬的方法哈佛體系結(jié)構(gòu)(ARM9系列)DSP程序數(shù)據(jù)I/O接口外設(shè)程序地址數(shù)據(jù)讀地址數(shù)據(jù)寫地址程序讀總線數(shù)據(jù)讀總線程序/數(shù)據(jù)寫數(shù)據(jù)程序2023/12/2731/502023/12/2732/50現(xiàn)代高速總線高速并行總線高速總線串行化多級總線結(jié)構(gòu)北橋南橋前端總線FrontSideBus輸入輸出管理方式2023/12/2734/50上半部分是計算機(jī)組成范疇,下圖是計算機(jī)體系結(jié)構(gòu)范疇計算機(jī)體系結(jié)構(gòu)的演進(jìn):并行處理技術(shù)并行處理技術(shù)實(shí)現(xiàn)多個處理器或處理器模塊的并行性,其基本思想包括時間重疊(timeinterleaving)、資源重復(fù)(resourcereplicaiton)和資源共享(resourcesharing)。分級并行處理技術(shù)指令級并行技術(shù)ISP

流水線、超標(biāo)量、超長指令字系統(tǒng)級并行技術(shù)SLP

多處理器(多機(jī)/多核)、多磁盤線程級并行技術(shù)TLP

同時多線程SMT電路級并行技術(shù)CLP

組相聯(lián)cache、先行進(jìn)位加法器四級流水線CPU的操作(1)取指令級

將待執(zhí)行指令的地址發(fā)送到指令存儲器,并等待此指令返回。(2)指令譯碼級

指令譯碼并從寄存器中取出所需的源操作數(shù)。(3)指令執(zhí)行級

執(zhí)行運(yùn)算,并將其結(jié)果送至下一階段;而存儲器存取指令則利用此階段從存儲器中完成數(shù)據(jù)的取存操作。

(4)數(shù)據(jù)回寫級

將數(shù)據(jù)寫回到寄存器或者數(shù)據(jù)存儲器,或在決定分支跳轉(zhuǎn)時寫入地址寄存器給出下一個指令的地址。指令時空圖串行順序執(zhí)行4級流水線執(zhí)行流水線滿載串行順序執(zhí)行:大部分硬件都處于空閑狀態(tài)指令流水線:所有硬件都處于工作狀態(tài)更細(xì)的流水線取指(FI)指令譯碼(DI)計算操作數(shù)地址(CO)取操作數(shù)(FO)執(zhí)行指令(EI)寫操作數(shù)(WO)39/862023/12/2739/50流水線CPU的特點(diǎn)優(yōu)點(diǎn):

通過指令級并行來提高性能。缺點(diǎn):增加了硬件成本。流水寄存器會引入延遲和時鐘偏移,這些額外開銷會使每條指令的執(zhí)行時間有所增加,同時限制了流水線的深度。流水線中各段的操作存在關(guān)聯(lián)(dependence)時可能會引起流水線中斷,從而影響流水線的性能和效率。*40/862023/12/2740/50流水線沖突理想流水線的性能:每個時鐘周期完成一條指令實(shí)際流水機(jī)器中可能存在冒險(hazard)導(dǎo)致停頓:①數(shù)據(jù)沖突(如后面的計算要用到前面的結(jié)果)定向技術(shù)可將結(jié)果數(shù)據(jù)從其產(chǎn)生的地方直接傳送到所有需要它的功能部件編譯器可利用流水線調(diào)度(scheduling)技術(shù)來重新組織指令順序②結(jié)構(gòu)沖突(硬件資源不夠)增加額外的同類型資源改變資源的設(shè)計使其能被同時使用③控制沖突(分支等跳轉(zhuǎn)指令引起)可采用分支預(yù)測及預(yù)測執(zhí)行技術(shù)最大限度地使處理器各部分保持運(yùn)行狀態(tài)。多端口的寄存器堆哈佛結(jié)構(gòu)存儲器、超標(biāo)量*41/862023/12/2741/50流水線沖突--數(shù)據(jù)沖突1.數(shù)據(jù)沖突方式(當(dāng)前指令的源操作數(shù)與前一條指令的目標(biāo)操作數(shù)相同)a)違背寫后讀規(guī)則(RAW)

后一條指令試圖在前一條指令寫一個數(shù)據(jù)之前讀取該數(shù)據(jù)b)違背讀后寫規(guī)則(WAR)后一條指令試圖在前一條指令讀一個數(shù)據(jù)之前寫該數(shù)據(jù)c)違背寫后寫規(guī)則(WAW)后一條指令試圖在前一條指令寫一個數(shù)據(jù)之前寫該數(shù)據(jù)2.解決辦法定向技術(shù)可將結(jié)果數(shù)據(jù)從其產(chǎn)生的地方直接傳送到所有需要它的功能部件編譯器可利用流水線調(diào)度(scheduling)技術(shù)來重新組織指令順序(亂序執(zhí)行)順序流水線數(shù)據(jù)依賴亂序執(zhí)行流水線教材圖2-20有錯誤流水線沖突--控制沖突控制沖突原因:分支、跳轉(zhuǎn)等指令引起流水線中斷

解決辦法:采用分支預(yù)測及預(yù)測執(zhí)行技術(shù)最大限度地使處理器各部分保持運(yùn)行狀態(tài)。順序流水線控制依賴周期12345678DIVR2,R1取指譯碼執(zhí)行回寫

ADDR3,R2取指譯碼等待執(zhí)行回寫

BRANCH取指譯碼等待執(zhí)行回寫指令4取指流水線沖突--結(jié)構(gòu)沖突3.結(jié)構(gòu)沖突(資源沖突)原因:硬件資源不夠,例如兩條指令都需要除法操作解決方法:增加額外的同類型資源改變資源的設(shè)計使其能被同時使用輪流暫停一部分流水線,輪流使用資源超標(biāo)量CPU的體系結(jié)構(gòu)超標(biāo)量技術(shù):可在一個時鐘周期內(nèi)對多條指令進(jìn)行并行處理,使CPI小于1;特點(diǎn):處理器中有兩個或兩個以上的相同的功能部件;

要求操作數(shù)之間必須沒有相關(guān)性;整數(shù)指令浮點(diǎn)指令*2023/12/2748/50超標(biāo)量結(jié)構(gòu)機(jī)器的例子兩條輸入流水線三條執(zhí)行流水線每個時鐘周期可從存儲器中獲取兩條指令用于執(zhí)行不需要訪問存儲器的指令可處理所有需要或不需要訪問存儲器的指令可用于進(jìn)行乘、除類較復(fù)雜的算術(shù)運(yùn)算決定應(yīng)使用哪一條執(zhí)行流水線2023/12/2749/502023/12/2750/50多機(jī)并行系統(tǒng)大規(guī)模并行處理機(jī)(MPP)是一種價格昂貴的超級計算機(jī),它由許多CPU通過高速專用互聯(lián)網(wǎng)絡(luò)連接。機(jī)群(cluster)由多臺同構(gòu)或異構(gòu)的獨(dú)立計算機(jī)通過高性能網(wǎng)絡(luò)或局域網(wǎng)連在一起協(xié)同完成特定的并行計算任務(wù)。刀片(blade)通常指包含一個或多個CPU、內(nèi)存以及網(wǎng)絡(luò)接口的服務(wù)器主板。通常一個刀片柜共享其它外部I/O和電源,而輔助存儲器則有距離刀片柜較近的存儲服務(wù)器提供。網(wǎng)格(Network)是一組由高速網(wǎng)絡(luò)連接的不同的計算機(jī)系統(tǒng),可以相互合作也可獨(dú)立工作。網(wǎng)格計算機(jī)將接受中央服務(wù)器分配的任務(wù),然后在不忙的時候(如晚上或周末)執(zhí)行這些任務(wù)。2023/12/2751/50多核處理器多線程技術(shù)單片多處理器(ChipMulitProcessor,CMP)問題:晶體管數(shù)量、芯片面積及芯片發(fā)熱量多線程處理器(MultithreadedProcessor)細(xì)粒度多線程(Fine-GrailMultithreading)在每個指令中切換線程,處理器必須能在每個時鐘周期切換線程。其優(yōu)點(diǎn)是可以隱藏停頓引起的吞吐量損失;缺點(diǎn)是單個線程處理速度變慢了。粗粒度多線程(Coarse-GrailMultithreading)

僅當(dāng)遇到開銷大的阻塞時才切換線程其缺陷在于流水線啟動開銷引起吞吐量損失,特別是對于短的阻塞2023/12/2752/50

1966年M.J.Flynn按照指令流和數(shù)據(jù)流的不同組織方式,把計算機(jī)系統(tǒng)的結(jié)構(gòu)分為以下4類:

(1)單指令流單數(shù)據(jù)流

--SISD

(2)單指令流多數(shù)據(jù)流

--SIMD

(3)多指令流單數(shù)據(jù)流

--

MISD

(4)多指令流多數(shù)據(jù)流

--

MIMD計算機(jī)體系結(jié)構(gòu)的分類CUPUISCSDSSISD計算機(jī)MMSISD計算機(jī)典型是單處理器系統(tǒng),特點(diǎn):每次對一條指令進(jìn)行譯碼,并僅對一個操作部件分配數(shù)據(jù)。CU:控制單元,PU:處理單元,MM:存儲體CS:控制流,IS:指令流,DS:數(shù)據(jù)流SISD計算機(jī)PU1PU2PUNCUSMDS1DS2DSNISCSSIMD計算機(jī)MM1MM2MMN特點(diǎn):多個PU按一定方式互連,在同一個CU控制下,各自的數(shù)據(jù)完成同一條指令規(guī)定的操作;從CU看,指令順序(串行)執(zhí)行,從PU看,數(shù)據(jù)并行執(zhí)行。SIMD計算機(jī)MISD計算機(jī)CU1CU2CUNPU1PU2PUNSMIS1IS2ISNDSDSCS1CS2CSNIS2ISNMM1MM2MMN特點(diǎn):MISD幾條指令對同一個數(shù)據(jù)進(jìn)行不同的處理,實(shí)際上不存在.SMMM1MM2MMNCU1CU2CUNPU1PU2PUNISNDSNDS1CS1CS2CSNIS1IS2ISNDS2MIMD計算機(jī)MIMD多處理機(jī)系統(tǒng),包括:特點(diǎn):能實(shí)現(xiàn)作業(yè)、任務(wù)、指令

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論