電子技術(shù)基礎(chǔ)課件:時序邏輯電路_第1頁
電子技術(shù)基礎(chǔ)課件:時序邏輯電路_第2頁
電子技術(shù)基礎(chǔ)課件:時序邏輯電路_第3頁
電子技術(shù)基礎(chǔ)課件:時序邏輯電路_第4頁
電子技術(shù)基礎(chǔ)課件:時序邏輯電路_第5頁
已閱讀5頁,還剩35頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

時序邏輯電路第一節(jié)同步時序邏輯電路的分析方法第二節(jié)寄存器第三節(jié)計數(shù)器第四節(jié)同步時序邏輯電路的設(shè)計方法組合邏輯電路主要由門電路構(gòu)成,時序邏輯電路則主要由觸發(fā)器和門電路組成。觸發(fā)器的主要作用是用來記憶和表示邏輯電路的狀態(tài),由此可見,在時序邏輯電路中,觸發(fā)器是主要組成部分,門電路則可以沒有。時序邏輯電路又稱時序電路,是數(shù)字邏輯電路的重要組成部分。時序邏輯電路與組合邏輯電路相比具有不同的特點:時序邏輯電路在任何時刻的輸出狀態(tài)(次態(tài))不僅取決于該時刻的輸入狀態(tài),而且還取決于電路的原有狀態(tài)(現(xiàn)態(tài))。時序邏輯電路的內(nèi)部存在反饋電路,其輸出狀態(tài)由輸入信號狀態(tài)和觸發(fā)器原有狀態(tài)共同決定。同時由于時序邏輯電路在結(jié)構(gòu)以及功能上的特殊性,相較其他種類的數(shù)字邏輯電路而言,它往往具有難度大、電路復(fù)雜并且應(yīng)用范圍廣的特點。根據(jù)觸發(fā)器狀態(tài)的變化和時鐘脈沖CP信號是否同步,時序邏輯電路又分為同步時序邏輯電路和異步時序邏輯電路。在同步時序邏輯電路中,所有的觸發(fā)器同時連在一個時鐘控制脈沖CP上,所有具備發(fā)生翻轉(zhuǎn)條件的觸發(fā)器在同一個時鐘脈沖控制下同時翻轉(zhuǎn)。在異步時序邏輯電路中,只有部分觸發(fā)器與時鐘脈沖CP相連接,其余觸發(fā)器的時鐘由電路內(nèi)部控制,具備翻轉(zhuǎn)條件的觸發(fā)器在時鐘脈沖CP控制下,其狀態(tài)翻轉(zhuǎn)有先后之分。本章后面所講到的計數(shù)脈沖、移位脈沖實際上就是時鐘脈沖CP。時序邏輯電路在數(shù)字電路中占有十分重要的地位,本章主要是對時序邏輯電路的邏輯功能及其描述方法、電路結(jié)構(gòu)、分析方法及其設(shè)計方法作了簡單的介紹,最后通過介紹幾種典型的時序邏輯電路,即計數(shù)器、寄存器、移位寄存器、順序脈沖發(fā)生器等,總結(jié)了時序邏輯電路在未來的應(yīng)用方向。教學(xué)內(nèi)容:(1)同步時序邏輯電路的分析方法。(2)寄存器、計數(shù)器。(3)同步時序邏輯電路的設(shè)計方法。學(xué)習(xí)目標:(1)掌握同步時序邏輯電路的分析方法。(2)掌握二進制計數(shù)器和十進制計數(shù)器的工作原理及常用集成計數(shù)器的邏輯功能與使用方法。(3)理解寄存器和移位寄存器的邏輯功能與使用方法,了解利用移位寄存器組成順序脈沖電路的方法。(4)借助集成電路手冊,能正確使用集成計數(shù)器和移位寄存器,完成中等時序邏輯電路的設(shè)計、組裝和調(diào)試。

第一節(jié)同步時序邏輯電路的分析方法時序邏輯電路根據(jù)時鐘不同可分為同步時序邏輯電路和異步時序邏輯電路。(1)同步時序邏輯電路:各個觸發(fā)器的時鐘脈沖相同,即電路中有一個統(tǒng)一的時鐘脈沖,每來一個時鐘脈沖,電路的狀態(tài)只改變一次。(2)異步時序邏輯電路:各個觸發(fā)器的時鐘脈沖不同,即電路中沒有統(tǒng)一的時鐘脈沖來控制電路狀態(tài)的變化,電路狀態(tài)改變時,電路中要更新狀態(tài)的觸發(fā)器的翻轉(zhuǎn)有先有后,是異步進行的。由于同步時序邏輯電路的觸發(fā)器同時動作,所以同步時序邏輯電路的速度比異步時序邏輯電路快,其應(yīng)用也比異步時序邏輯電路更加廣泛。1.基本分析方法數(shù)字電路的分析方法和設(shè)計方法是數(shù)字電路這門課程的主要學(xué)習(xí)內(nèi)容。在組合邏輯電路中我們已經(jīng)學(xué)習(xí)了組合邏輯電路的分析方法和設(shè)計方法,同樣的在時序電路中,也要學(xué)習(xí)時序邏輯電路的分析方法和設(shè)計方法。其中,時序邏輯電路的分析方法是主要學(xué)習(xí)內(nèi)容,時序邏輯電路的設(shè)計方法只做簡單了解。分析同步時序邏輯電路,就是在給定的同步時序邏輯電路基礎(chǔ)之上找到它的邏輯功能。具體方法就是通過分析同步時序邏輯電路,找到在輸入變量和時鐘信號作用下同步時序邏輯電路現(xiàn)態(tài)和次態(tài)之間的變化規(guī)律。分析同步時序電路的步驟如下:(1)列寫時鐘方程。時鐘方程即電路中各個觸發(fā)器的時鐘脈沖方程。對于同步時序邏輯電路而言,電路中所有的時鐘都是連接在一起的,因此比較簡單。(2)列寫驅(qū)動方程。從給定的同步時序邏輯電路圖中找出每個觸發(fā)器的輸入方程即驅(qū)動方程,也是每個觸發(fā)器輸入端的邏輯表達式。(3)列寫狀態(tài)方程。將得到的驅(qū)動方程帶入每個觸發(fā)器的特性方程之中,可得到每個觸發(fā)器的狀態(tài)方程。這些狀態(tài)方程就組成了整個同步時序邏輯電路的狀態(tài)方程組。(4)列寫輸出方程。根據(jù)同步時序邏輯電路寫出電路的輸出方程。(5)列出狀態(tài)表。根據(jù)整個電路的狀態(tài)方程組、輸入方程、輸出方程列出各觸發(fā)器時鐘、現(xiàn)態(tài)、次態(tài)、輸入、輸出的功能真值表。(6)繪出狀態(tài)轉(zhuǎn)換圖。根據(jù)狀態(tài)表,可畫出狀態(tài)轉(zhuǎn)換圖和時序波形圖。(7)判斷邏輯功能。根據(jù)狀態(tài)轉(zhuǎn)換圖,判斷邏輯功能。(8)自啟動判斷。根據(jù)狀態(tài)轉(zhuǎn)換圖,判斷電路能否自啟動。將以上步驟總結(jié),如圖8.1所示。

第二節(jié)寄存器在數(shù)字電路中,用來存放二進制數(shù)據(jù)或代碼的電路稱為寄存器。寄存器是由具有存儲功能的觸發(fā)器組合起來構(gòu)成的。一個觸發(fā)器可以存儲1位二進制代碼;存放n位二進制代碼的寄存器,需用n個觸發(fā)器來構(gòu)成。按照功能的不同,可將寄存器分為基本寄存器和移位寄存器兩大類?;炯拇嫫髦荒懿⑿兴腿霐?shù)據(jù),需要時也只能并行輸出。移位寄存器中的數(shù)據(jù)可以在移位脈沖作用下依次逐位右移或左移,數(shù)據(jù)既可以并行輸入、并行輸出,也可以串行輸入、串行輸出,還可以并行輸入、串行輸出,串行輸入、并行輸出,十分靈活,用途也很廣。寄存器與存儲器的區(qū)別:(1)寄存器一般只用來暫存中間運算結(jié)果,存儲時間短,存儲容量小,一般只有幾位。(2)存儲器一般用于存儲運算結(jié)果,存儲時間長,容量大。寄存器分為數(shù)據(jù)寄存器和移位寄存器,其區(qū)別在于有無移位的功能。2.1數(shù)據(jù)寄存器在數(shù)字系統(tǒng)中,用來暫存數(shù)碼的數(shù)字部件稱為數(shù)碼寄存器。這種寄存器只有寄存數(shù)碼和清除原有數(shù)碼的功能。圖8.11是由D觸發(fā)器(上升沿觸發(fā))組成的4位數(shù)碼寄存器74LS175的邏輯圖。從圖8.13可以看出,無論寄存器中原來的內(nèi)容是什么,只要送數(shù)控制時鐘脈沖CP上升沿到來,加在并行數(shù)據(jù)輸入端的數(shù)據(jù)D0~D3就立即被送入寄存器中;當時鐘脈沖CP消失后,寄存器就保持D3D2D1D0的狀態(tài)不變。其功能表可總結(jié)為表8.5。74LS175的功能如下:1)異步清零在RD加負脈沖,觸發(fā)器全部清零。完成清零后,為了不影響數(shù)據(jù)的寄存,RD端應(yīng)接高電平。2)并行輸入RD=1時,所要存入的數(shù)據(jù)D依次輸入,在CP脈沖上升沿的作用下,數(shù)據(jù)完成并行輸入。3)記憶功能RD=1,CP無上升沿時(通常接入低電平),各觸發(fā)器保持不變,處于記憶保持狀態(tài)。4)并行輸出此功能使觸發(fā)器可以同時輸出已經(jīng)存入的數(shù)據(jù)及其反碼。2.2移位寄存器移位寄存器不僅有存放數(shù)碼的功能,而且有移位的功能。所謂移位,是指每當一個正脈沖(時鐘脈沖)到來時,觸發(fā)器的狀態(tài)便向右或向左移一位,也就是指寄存的數(shù)碼可以在移位脈沖的控制下依次進行移位。所以,移位寄存器不僅可以存儲數(shù)據(jù)代碼,同時還可以用來實現(xiàn)數(shù)據(jù)的串行與并行的轉(zhuǎn)換,如圖8.12所示。2.3集成寄存器芯片集成寄存器又稱為鎖存器,通常用來存儲中間結(jié)果,如儀器設(shè)備中的數(shù)據(jù)存儲等。下面介紹常用的三種寄存器芯片。1.74LS373圖8.13所示為8位鎖存器74LS373的邏輯圖。8個D觸發(fā)器組成寄存器單元;具有三態(tài)輸出。G1為輸出控制門;G2為鎖存允許控制門;1D~8D是數(shù)據(jù)輸入端;1Q~8Q是數(shù)據(jù)輸出端。其工作過程為:先將要鎖存的數(shù)據(jù)輸入到各D端,在CP=1時,D端數(shù)據(jù)就會被存入各個觸發(fā)器中;在CP=0時,數(shù)據(jù)就被鎖存在各個觸發(fā)器中。如需將被鎖存的數(shù)據(jù)輸出,只要EN=0,數(shù)據(jù)將通過三態(tài)門輸出;在EN=1時,三態(tài)門處于高阻態(tài)??偨Y(jié)其功能,如表8.7所示。2.74LS164移位寄存器圖8.14所示為74LS164的邏輯圖。8個D觸發(fā)器是8位移位寄存器的存儲單元;Q1~Q7是8位并行輸出端;G1是清零控制;G2是脈沖控制;G3是串行數(shù)據(jù)輸入端。其工作過程總結(jié)為:(1)清零。當CR=0時,Q1~Q7都為零。清零后只有在CR=1時,寄存器才能正常工作。(2)寄存和移位。DSA和DSB是兩個數(shù)據(jù)輸入端,它們是與非的關(guān)系,在時鐘CP上升沿時將數(shù)據(jù)存入FF0,F(xiàn)F0中的數(shù)據(jù)存入FF1,F(xiàn)F1中的數(shù)據(jù)存入FF2,依次類推,實現(xiàn)移位寄存。3.74LS194雙向移位寄存器1)結(jié)構(gòu)及功能移位寄存器按移位的方式可分為左移﹑右移和雙向移位寄存器。74LS194為4位雙向移位寄存器,它具有左移﹑右移﹑并行輸入數(shù)據(jù)﹑保持及清除等五種功能,其邏輯電路如圖8.15所示。其中,RD為異步清零端,S0和S1的組合控制芯片的功能控制端,DIL和DIR分別為左、右移動串行輸入端,D0~D3是4位并行輸入端,Q0~Q3是4位并行輸出端。74LS194的功能表如表8.8所示。74LS194的邏輯符號如圖8.16所示,其并行數(shù)碼輸出端從高位到低位依次為Q3~Q0。2)74LS194的拓展兩片74LS194可以拓展為8位移位寄存器,其邏輯圖如圖8.17所示。

第三節(jié)計數(shù)器

在數(shù)字電路中,能夠記憶輸入脈沖個數(shù)的電路稱為計數(shù)器。根據(jù)其變化的特點不同可以將計數(shù)器電路進行以下分類:(1)按照時鐘脈沖信號CP的特點分為同步計數(shù)器和異步計數(shù)器。其中,同步計數(shù)器中所有的觸發(fā)器受同一個時鐘脈沖控制,并在同一時刻進行翻轉(zhuǎn),通常情況下其所有的時鐘輸入全部連在一起;異步計數(shù)器中所有的觸發(fā)器的時鐘輸入脈沖CP沒有連接在一起,各個觸發(fā)器不在同一時刻翻轉(zhuǎn)。一般情況下,同步計數(shù)器的速度要高于異步計數(shù)器。(2)按照計數(shù)器的數(shù)碼升降變化可以分為加法計數(shù)器和減法計數(shù)器。也有既可實現(xiàn)加法也可實現(xiàn)減法的計數(shù)器,這類計數(shù)器稱為可逆計數(shù)器。(3)按照輸出的編碼形式可分為二進制計數(shù)器、二—十進制計數(shù)器、循環(huán)碼計數(shù)器等。(4)按照計數(shù)器的模數(shù)或容量分為十進制計數(shù)器(其模為10)、十六進制計數(shù)器(其模為16)、六十進制計數(shù)器(其模為60)、N進制計數(shù)器(其模為N)。計數(shù)器不僅可以用來計數(shù),還可用來分頻、定時,是時序邏輯電路中應(yīng)用最廣泛的一種。3.1由觸發(fā)器組成的計數(shù)器1.同步計數(shù)器1)同步加法計數(shù)器圖8.18所示為4位同步加法計數(shù)器邏輯電路。整個電路由4個JK觸發(fā)器組成,將其中的J、K輸入端連接在一起構(gòu)成了T觸發(fā)器,CP為時鐘脈沖輸入端,Q0~Q3是計數(shù)狀態(tài)輸出端,Co為進位輸出端。根據(jù)電路中各個觸發(fā)器的輸入端連接情況可以寫出JK觸發(fā)器的驅(qū)動方程:可得電路的狀態(tài)轉(zhuǎn)換方程為輸出端的表達式為寫出狀態(tài)轉(zhuǎn)換表,如表8.10所示。假設(shè)初始狀態(tài)觸發(fā)器的輸出全部為0,表中給出了在計數(shù)脈沖的作用下,邏輯電路的現(xiàn)態(tài)和次態(tài)之間的轉(zhuǎn)換關(guān)系和進位輸出端的值。從表8.10中可以得出:觸發(fā)器的輸出端Q0~Q3的邏輯值按照二進制編碼方式循環(huán),共有16個狀態(tài),其循環(huán)方向?qū)儆谙陆档臏p法計數(shù)器,進位輸出端Bo在Qn3Qn2Qn1Qn0=0000時才為1,其余時刻為0。2.異步計數(shù)器1)異步加法計數(shù)器異步加法計數(shù)器如圖8.22所示,共由4個下降沿JK觸發(fā)器作為存儲單元構(gòu)成。從圖中可以發(fā)現(xiàn),每個觸發(fā)器都由J=K=1的連接方式組成了T觸發(fā)器,每一個時鐘脈沖CP的下降沿來臨時,觸發(fā)器就翻轉(zhuǎn)一次,低位觸發(fā)器的輸出作為高位觸發(fā)器的CP脈沖,這種連接方式稱為異步工作方式。各觸發(fā)器的清零端統(tǒng)一受到清零信號的控制。當觸發(fā)器被清零后,由于CP脈沖作用于第一個觸發(fā)器FF0的CP端,所以第一個觸發(fā)器FF0的輸出是見到CP脈沖下降沿就翻轉(zhuǎn)一次,由此可得Q0的電壓波形;然后Q0的波形又作為FF1的時鐘脈沖,F(xiàn)F1的輸出是見到Q0的下降沿就翻轉(zhuǎn)一次,由此可得Q1的電壓波形;然后Q1的波形又作為FF2的時鐘脈沖,F(xiàn)F2的輸出是見到Q1的下降沿就翻轉(zhuǎn)一次,由此可得Q2的電壓波形;然后Q2的波形又作為FF3的時鐘脈沖,F(xiàn)F3的輸出是見到Q2的下降沿就翻轉(zhuǎn)一次,由此可得Q3的電壓波形。由此可得此4位異步二進制加法計數(shù)器的工作波形如圖8.23所示,每個觸發(fā)器都是每輸入兩個脈沖輸出一個脈沖,滿足“逢二進一”,符合加法計數(shù)器的規(guī)律。2)異步減法計數(shù)器4位異步減法計數(shù)器如圖8.24所示,與圖8.22相比,只是改成了用Q作為下一級觸發(fā)器的CP脈沖。其工作波形圖如圖8.25所示,在清零后的第一個CP脈沖作用后,各觸發(fā)器被翻轉(zhuǎn)為1111,這是一個置位動作,以后每來一個CP脈沖,計數(shù)器就減1,直到0000為止,符合減法計數(shù)器的規(guī)律。3.2集成計數(shù)器及芯片前面介紹的是由基本觸發(fā)器組成的計數(shù)器,屬于小規(guī)模集成器件的應(yīng)用,功能有限。實際應(yīng)用中往往需要的功能較強,集成計數(shù)器就是屬于功能比較完善的中等規(guī)模器件。下面介紹常用的集成計數(shù)器芯片。集成計數(shù)器按時鐘工作方式分為同步和異步兩種。同步計數(shù)器由于各觸發(fā)器在同一個時鐘CP脈沖作用下同時翻轉(zhuǎn),需要很多門來控制,所以同步計數(shù)器的電路復(fù)雜,但是速度快,多用于計算機中;而異步計數(shù)器電路簡單,但計數(shù)速度慢,多用于儀器、儀表中。1.集成同步計數(shù)器1)集成同步計數(shù)器芯片74LS161以同步計數(shù)器74LS161為例,其內(nèi)部邏輯電路圖如圖8.26所示。其中,RD為異步清零端(或復(fù)位端),低電平有效;LD為預(yù)置數(shù)控制端,低電平有效,置數(shù)是在同步時鐘脈沖信號作用下同步完成的;D0~D3為預(yù)置數(shù)輸入端,Q0~Q3為計數(shù)輸出端,當RD=1、LD=0時,在時鐘信號上升沿作用下,預(yù)置數(shù)D0~D3被對應(yīng)地輸送到Q0~Q3保存下來;C為進位輸出端;EP、ET為計數(shù)器功能控制端。74LS161的具體功能如表8.11所示,其中假設(shè)預(yù)置數(shù)輸入端D0~D3存入的數(shù)據(jù)為d0~d3。從表8.11中可以看出,EP、ET的低電平都可以讓輸出端的值保持不變,只有ET的作用可以讓進位輸出端C復(fù)位。74LS161的計數(shù)循環(huán)是0000~1111,按照二進制計數(shù),其狀態(tài)轉(zhuǎn)換表與表8.9相同,狀態(tài)轉(zhuǎn)換圖與圖8.19相同。74LS161的邏輯符號一般用框圖來簡化表示,如圖8.27(a)所示。圖8.27(b)是其集成芯片管腳陣列圖,從中可以看出,16腳是直流供電引腳,8腳是接地引腳,1腳是異步清零引腳,2腳是時鐘脈沖引腳,3~6腳是D0~D3預(yù)置數(shù)輸入引腳,7、10引腳是芯片功能控制端引腳,9腳是預(yù)置數(shù)控制端引腳,14~11引腳是數(shù)據(jù)輸出端Q0~Q3引腳,15是進位輸出端引腳。2)集成同步計數(shù)器芯片74LS160人們最習(xí)慣的是十進制,所以在應(yīng)用中常使用十進制計數(shù)器。使用較多的十進制計數(shù)器是按照8421BCD碼進行計數(shù)的電路,計數(shù)器由“0000”狀態(tài)開始計數(shù),每10個脈沖一個循環(huán),也就是第10個脈沖到來時,由“1001”變?yōu)椤?000”,就實現(xiàn)了“逢十進一”,同時產(chǎn)生一個進位信號。74LS160是集成同步十進制計數(shù)器,它是按8421BCD碼進行加法計數(shù)的。4LS160的引腳圖、邏輯功能與74LS161相同,見圖8.27(a)、(b),只是計數(shù)狀態(tài)是按照十進制加法規(guī)律來進行的,其邏輯功能表和內(nèi)部邏輯圖分別如表8.12和圖8.28所示。2.集成異步計數(shù)器1)集成異步計數(shù)器74HC393集成異步計數(shù)器74HC393是個雙4位異步計數(shù)器,其引腳圖如圖8.29所示,每個管腳前的1和2分別指的是雙4位異步計數(shù)器之中的第一和第二個計數(shù)器。此計數(shù)器的工作原理如下:(1)清零。使CR=1(高電平),則各觸發(fā)器置零,使得Q3~Q0輸出為0000。完成清零后應(yīng)使CR=0,觸發(fā)器才能正常計數(shù)。(2)計數(shù)。輸出端Q3~Q0的邏輯值按照二進制編碼方式循環(huán),共有16個狀態(tài),其循環(huán)方向為0000~1111,屬于上升的加法計數(shù)器,如圖8.30所示。74HC393的功能表見表8.13。2)集成異步計數(shù)器74LS290集成異步計數(shù)器74LS290是異步二—五—十進制計數(shù)器,其邏輯圖如圖8.31所示。74LS290共由4個JK觸發(fā)器構(gòu)成,CPA和CPB都是計數(shù)輸入端,R0(1)和R0(2)為置零控制端,S9(1)和S9(2)為置9控制端。其芯片管腳圖如圖8.32所示。當信號從CPA輸入,從Q0輸出時,構(gòu)成一個二分頻電路,實現(xiàn)1位二進制計數(shù)器;當信號從CPB輸入,從Q3輸出時,構(gòu)成一個五分頻電路,實現(xiàn)五進制計數(shù)器;當信號從CPA輸入,并將CPB與Q0連接,從Q0、Q1、Q2、Q3輸出時,就構(gòu)成一個8421BCD碼的十進制計數(shù)器,故集成異步計數(shù)器74LS290也稱為異步二—五—十進制計數(shù)器,其功能見表8.14。此計數(shù)器的工作原理如下:(1)異步清零。當S9(1)·S9(2)=0,并且R0(1)=R0(2)=1時,計數(shù)器異步清零。(2)異步置9。當S9(1)=S9(2)=1時,計數(shù)器置9,此時Q3Q2Q1Q0=1001,此項功能是不需要CP配合的異步操作。(3)計數(shù)。當S9(1)·S9(2)=1和R0(1)·R0(2)=0同時滿足時,在CP下降沿可以進行計數(shù)。若從CPA輸入脈沖,則Q0端可以實現(xiàn)二進制計數(shù);若在CPB端輸入脈沖,則Q3Q2Q1從000到100計數(shù),構(gòu)成五進制計數(shù)器;若將CPB與Q0連接,從CPA輸入脈沖,則Q3Q2Q1Q0從0000到1001計數(shù),從而實現(xiàn)8421BCD十進制計數(shù)功能。3.3任意進制計數(shù)器通常的集成計數(shù)器只有二進制和十進制計數(shù)器兩大系列,實際工作中往往要用到其他各類進制的計數(shù)器,如七進制、十二進制、六十進制和一百進制等。一般將二進制和十進制以外的進制稱為任意進制。實現(xiàn)任意進制的一般做法是將二進制或十進制的計數(shù)器改成任意進制計數(shù)器,采用的方法是反饋歸零或反饋置數(shù)法。若要實現(xiàn)任意進制計數(shù)器,首先要選擇二進制或十進制集成芯片。假設(shè)已選N進制計數(shù)器,而需要得到的是M進制計數(shù)器。此時,就有M<N或M>N兩種可能的情況,下面就這兩種情況進行

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論