【基于單片機的函數(shù)信號發(fā)生器設(shè)計11000字(論文)】_第1頁
【基于單片機的函數(shù)信號發(fā)生器設(shè)計11000字(論文)】_第2頁
【基于單片機的函數(shù)信號發(fā)生器設(shè)計11000字(論文)】_第3頁
【基于單片機的函數(shù)信號發(fā)生器設(shè)計11000字(論文)】_第4頁
【基于單片機的函數(shù)信號發(fā)生器設(shè)計11000字(論文)】_第5頁
已閱讀5頁,還剩17頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)

文檔簡介

基于單片機的函數(shù)信號發(fā)生器設(shè)計摘要本文簡要介紹了信號發(fā)生器的研究現(xiàn)狀及發(fā)展?fàn)顩r,詳細闡述了傳統(tǒng)信號發(fā)生器和數(shù)字信號發(fā)生器的設(shè)計原理。從功能、成本、體積、重量上講述了數(shù)字信號發(fā)生器的特點和優(yōu)越性。在此基礎(chǔ)上給出了系統(tǒng)設(shè)計的整體方案,包括硬件和軟件設(shè)計方案并對這兩部分做了進一步的闡述。系統(tǒng)硬件電路的設(shè)計主要包括系統(tǒng)電源電路的設(shè)計、CPU及其外圍電路的設(shè)計、人機交互接口電路的設(shè)計、雙口RAM電路的設(shè)計等。本系統(tǒng)采用雙CPU結(jié)構(gòu),由ATMegal28單片機實現(xiàn)人機交互和TMS320F2812DSP實現(xiàn)波形發(fā)生這兩部分構(gòu)成。系統(tǒng)的軟件設(shè)計主要包括三部分:外設(shè)模塊驅(qū)動程序的設(shè)計、系統(tǒng)處理主程序設(shè)計、數(shù)字量波形信號的算法設(shè)計。各模塊驅(qū)動程序的設(shè)計為系統(tǒng)硬件提供了訪問函數(shù)的接口,便于系統(tǒng)功能的實現(xiàn);系統(tǒng)處理主程序設(shè)計主要包括雙CPU之間的通信以及數(shù)字量波形信號的產(chǎn)生與顯示。數(shù)字量波形信號的產(chǎn)生是由DSP的定時中斷服務(wù)程序來實現(xiàn)的,定時器每隔lms產(chǎn)生一次中斷,定時輸出階躍、等速、正弦三種數(shù)字量波形信號,用于測試數(shù)字伺服系統(tǒng)及其它測量電路的各項性能指標(biāo)。經(jīng)過軟、硬件的調(diào)試,數(shù)字信號發(fā)生器所產(chǎn)生的三種波形信號具有精度高,波形穩(wěn)定,失真小的特點;并且系統(tǒng)工作可靠穩(wěn)定,操作簡單實用,具有很好的應(yīng)用前景。關(guān)鍵詞:ATMega128單片機,TMS320F2812DSP,雙口RAM,數(shù)字信號發(fā)生器,人機交互目錄TOC\o"1-3"\h\u326331緒論 緒論1.1課題的應(yīng)用背景測量儀器從宏觀上可分為兩大類,即激勵和檢測儀器。激勵儀器主要是信號發(fā)生器。信號發(fā)生器是一種常用的信號源,它是一種為電子測量和計量工作提供電信號的設(shè)備,它和示波器、電壓表、計數(shù)器等儀器一樣是應(yīng)用最廣泛的電子儀器之一。因此,幾乎所有的電參量的測量都需要用到信號發(fā)生器。在許多實際應(yīng)用和實驗測試處理中,它不是測量儀器,而是根據(jù)使用者的要求,作為激勵源,根據(jù)使用者的要求仿真出各種測試信號,提供給測量電路,以滿足測量或各種實際要求。在教學(xué)和科研中,通常選擇幾種典型信號(如階躍、等速、正弦信號等)作為標(biāo)準(zhǔn)信號,用于電子電路和控制系統(tǒng)的性能測試或參數(shù)測量。信號發(fā)生器也是控制系統(tǒng)的重要組成部分,是工業(yè)自動化中不可缺少的測量設(shè)備,因此在許多高科技領(lǐng)域都得到了非常廣泛的應(yīng)用,如設(shè)計與測試、汽車制造業(yè)、生物醫(yī)學(xué)、傳感器仿真、函數(shù)發(fā)生器等領(lǐng)域。因此通過借鑒國內(nèi)外研究工作的先進經(jīng)驗,研制出高精度、可靠性、可調(diào)參數(shù)的數(shù)字量信號發(fā)生器,對于促進我國航空、航天、國防及工業(yè)自動化等領(lǐng)域的發(fā)展,跟隨和趕超世界先進水平均有重要意義。本課題的目的是完成基于DSP的數(shù)字信號發(fā)生器系統(tǒng)的軟、硬件設(shè)計,調(diào)試成功后使其產(chǎn)生三種精度高、波形穩(wěn)定、失真小的波形信號,并要求數(shù)字信號發(fā)生器具有界面友好、操作方便、運行穩(wěn)定、易維護的特點。1.2信號發(fā)生器發(fā)展?fàn)顩r早在20世紀(jì)20年代電子設(shè)備剛出現(xiàn)的時候,信號發(fā)生器便隨之產(chǎn)生。隨著通信和雷達技術(shù)的發(fā)展,40年代出現(xiàn)了主要用于測試各種接收機的標(biāo)準(zhǔn)信號發(fā)生器,使得信號發(fā)生器從定性分析的測量儀器發(fā)展成為定量分析的測量儀器。與此同時還出現(xiàn)了可用來測量脈沖電路或用作脈沖調(diào)制器的脈沖信號發(fā)生器。由于早期的信號發(fā)生器機械結(jié)構(gòu)比較復(fù)雜,功率比較大,電路比較簡單,因此發(fā)展速度比較慢。直到1964年才出現(xiàn)了第一臺全晶體管的信號發(fā)生器。自60年代以來信號發(fā)生器有了迅速的發(fā)展,出現(xiàn)了函數(shù)發(fā)生器。利用單片機技術(shù)和精密函數(shù)發(fā)生電路構(gòu)成的信號發(fā)生器,可實現(xiàn)信號的頻率偏差的自動調(diào)整,可產(chǎn)生高精度、高穩(wěn)定性的低頻波形信號。有的甚至只需要利用函數(shù)發(fā)生器芯片,外接很少的電阻、電容等元件,便可產(chǎn)生正弦波、方波、鋸齒波和三角波等幾種簡單波形。由于模擬電路的漂移大,使其輸出的波形的幅度穩(wěn)定性差,而且模擬器件構(gòu)成的電路存在著尺寸大、價格貴、功耗大等缺點,并且要產(chǎn)生較為復(fù)雜的信號波形,則電路結(jié)構(gòu)是非常復(fù)雜的。自70年代微處理器出現(xiàn)以后,利用微處理器、模數(shù)轉(zhuǎn)換器和數(shù)模轉(zhuǎn)換器,硬件和軟件使信號發(fā)生器的功能擴大,能夠產(chǎn)生出比較復(fù)雜的波形。這時期的信號發(fā)生器多以軟件為主,實質(zhì)是采用微處理器對DAC(數(shù)模轉(zhuǎn)換器)的程序控制,就可以得到各種簡單的波形。軟件控制波形的一個最大的缺點就是輸出波形的頻率低,這主要是由CPU的工作速度決定的,如果想提高頻率可以通過改進軟件程序減少其執(zhí)行周期時間或提高CPU的時鐘周期來實現(xiàn),但這些辦法是有限度的,根本的方法還是要改進硬件電路。隨著計算機技術(shù)和現(xiàn)代微電子技術(shù)的迅猛發(fā)展,一些新型的高速處理器如16位單片機、DSP被廣泛地應(yīng)用到控制系統(tǒng)中,這些器件的突出優(yōu)點是集成度高、處理速度快、片上資源豐富、可靠性好;同時一些先進的智能控制算法也被應(yīng)用到實際的系統(tǒng)中。隨著控制理論和大規(guī)模集成電路的進一步發(fā)展,數(shù)字系統(tǒng)中更多的功能將由軟件來實現(xiàn),這將是數(shù)字量信號發(fā)生器的一個發(fā)展趨勢。信號發(fā)生器的應(yīng)用十分廣泛,種類也非常繁多。首先,信號發(fā)生器可以分通用和專用兩大類,專用信號發(fā)生器主要為了某種特殊的測量目的而研制的,如電視信號發(fā)生器、脈沖編碼信號發(fā)生器等。其次,信號發(fā)生器按輸出波形又可分為正弦波信號發(fā)生器、脈沖信號發(fā)生器、函數(shù)發(fā)生器和任意波形發(fā)生器等。再次,按其產(chǎn)生頻率的方法又可分為諧振法和合成法兩種。一般傳統(tǒng)的信號發(fā)生器都采用諧振法,即用具有頻率選擇性的回路來產(chǎn)生正弦振蕩,獲得所需頻率。但也可以通過頻率合成技術(shù)來獲得所需頻率。利用頻率合成技術(shù)制成的信號發(fā)生器,通常被稱為合成信號發(fā)生器。所謂頻率合成技術(shù)就是指從一個高穩(wěn)定和準(zhǔn)確的參考頻率源,經(jīng)過技術(shù)處理,生成大量離散的頻率輸出。技術(shù)處理方法可以是傳統(tǒng)的硬件實現(xiàn)頻率的加、減、乘、除基本運算,也可以是鎖相環(huán)技術(shù),也可以是各種數(shù)字技術(shù)和計算機技術(shù)。參考頻率一般由高穩(wěn)定的晶體振蕩器產(chǎn)生,所生成的一系列離散頻率輸出與晶體振蕩器頻率有嚴(yán)格的比例關(guān)系,并且具有同樣的準(zhǔn)確度和穩(wěn)定度。在現(xiàn)代電子測量中,人們對于信號源頻率準(zhǔn)確度和穩(wěn)定度的要求越來越高,要求能在較寬的頻率范圍內(nèi)輸出,并且要求輸出的頻率具有高穩(wěn)定度和準(zhǔn)確度。對于作為精密測量的信號發(fā)生器,其頻率準(zhǔn)確度一般達到10-6~10-7。因此傳統(tǒng)的信號發(fā)生器已經(jīng)越來越不能滿足現(xiàn)代電子測量的需要。而數(shù)字信號發(fā)生器則具有很高的頻率穩(wěn)定度,其頻率準(zhǔn)確度一般可達到10-9或更高的水平。它可以輸出多種波形、并且可以有寬而準(zhǔn)確的輸出電平調(diào)節(jié),有較寬的頻率輸出范圍,較小的頻率間隔。目前,國外一些生產(chǎn)廠家己經(jīng)生產(chǎn)出功能豐富、頻帶寬、合成波形多、DAC位數(shù)高的數(shù)字信號發(fā)生器。如:美國IFR公司的射頻信號發(fā)生器IFR2031輸出頻率范圍為10KHz~2.7GHz,IFR2032輸出頻率范圍為10KHz~5.4GHz,擁有頻率、相位、幅度和脈沖調(diào)制等任意組合的靈活性,廣泛應(yīng)用于RF器件和無線能信系統(tǒng)的測試;美國福祿克公司生產(chǎn)的頻率合成信號發(fā)生器F-6060B,輸出頻率范圍為0.25Hz~1050MHz;德國R.S公司的射頻信號發(fā)生器STM-03輸出頻率范圍為5KHz~3GHz;Tektronix公司的VX4790A采樣率為25MS/s和VX4792采樣率為250MS/s,AFG320采樣率為16MS/s有調(diào)頻、調(diào)幅和移頻鍵控等功能;HewlettPackard公司的合成信號發(fā)生器HP8672A采樣率為18GS/s和HP83620A采樣率為20GS/s,具有脈沖調(diào)制、掃描調(diào)制、幅度調(diào)制和頻率調(diào)制等功能。隨著通信、電子及微電子技術(shù)的快速發(fā)展,對各種高性能的測試儀器、高頻段信號發(fā)生器的需求越來越多,我國數(shù)字合成信號發(fā)生器發(fā)展也較快,并廣泛應(yīng)用在通信、雷達、無線電導(dǎo)航、影視音響以及電測試儀器等領(lǐng)域。如香港創(chuàng)意公司的全數(shù)字化可編程信號發(fā)生器DSM-620V采樣頻率為110MHz;北京科奇公司的數(shù)字頻率合成信號發(fā)生器KH1460輸出頻率范圍為5KHz~50KHz,最小分辨率可達0.1Hz;南京新聯(lián)電子設(shè)備有限公司EE1411C型合成(DDS)函數(shù)信號發(fā)生器輸出頻率范圍為0.01Hz~0MHz;重慶前鋒集團的DDS函數(shù)發(fā)生器QF1631A/B輸出頻率范圍為0.1Hz~15MHz;江蘇洪澤瑞特電子設(shè)備有限公司生產(chǎn)的數(shù)字合成信號發(fā)生器SG1656輸出頻率范圍為1Hz~30MHz等等。因此,數(shù)字信號發(fā)生器被越來越廣泛的應(yīng)用在生產(chǎn)和實踐過程中,其前景十分廣闊。1.3本課題的研究目的本課題的研究目的是設(shè)計出一個基于單片機的數(shù)字量信號發(fā)生器;系統(tǒng)調(diào)試成功后使其產(chǎn)生三種精度高、波形穩(wěn)定、失真小的波形信號。本系統(tǒng)采用雙CPU結(jié)構(gòu),選用單片機作為波形發(fā)生的處理芯片,通過DSP的定時中斷,每隔lms,產(chǎn)生1個16位的二進制數(shù)值并從DSP自身的16個并行I/O端口輸出。隨著時間的推移,指定的端口上就可以得到一個離散的二進制值序列,且該序列滿足一定的規(guī)律,即用戶所需要的階躍、等速、正弦三種數(shù)字量信號。產(chǎn)生的等速信號設(shè)置了角速度、加速時間、起始位和終止位,可以適應(yīng)不同需求的伺服系統(tǒng);正弦波形信號的實現(xiàn)是根據(jù)用戶輸入的幅值和周期值,對正弦信號量只進行離散化處理,計算出1/4周期的正弦信號量的序列值,再根據(jù)波形的對稱性,可求出其它3/4個周期的正弦離散序列值,這大大提高了算法的效率并且降低了算法實現(xiàn)的難度。數(shù)字量信號發(fā)生器具有良好的人機交互接口,選用單片機作為人機交互系統(tǒng)的主控芯片。人機交互模塊主要由矩陣式小鍵盤和LCD液晶顯示屏構(gòu)成。用戶可以通過矩陣式鍵盤設(shè)定三種波形信號的參數(shù),從而控制波形的形狀和大小等;DSP的輸出數(shù)據(jù)通過雙口RAM傳送給單片機,單片機讀取到數(shù)據(jù)并把數(shù)據(jù)寫入到LCD的顯示RAM中,實現(xiàn)波形輸出信號的顯示。通過LCD顯示屏,用戶可方便地觀察到數(shù)字量波形以及波形參數(shù)。經(jīng)過運行及系統(tǒng)調(diào)試,設(shè)計的數(shù)字信號發(fā)生器所產(chǎn)生的三種數(shù)字量波形具有精度高、波形失真小、抗干擾能力強的特點,具有良好的應(yīng)用前景。2數(shù)字信號發(fā)生器總體設(shè)計2.1概述現(xiàn)代電子、計算機和信號處理等技術(shù)的發(fā)展,極大地促進了數(shù)字化技術(shù)在電子測量儀器中的應(yīng)用。一些新型的高速處理器如16位單片機、DSP等被廣泛地應(yīng)用到控制系統(tǒng)中,這些器件的突出優(yōu)點是集成度高、處理速度快、片上資源豐富、可靠性好;同時一些先進的智能控制算法也被應(yīng)用到實際的系統(tǒng)中,使原有的模擬信號處理逐步被數(shù)字信號處理所代替,從而擴充了信號的處理能力,提高了信號測量的準(zhǔn)確度、精度和變換速度,克服了模擬信號處理的諸多缺點。因此,數(shù)字信號發(fā)生器隨之逐漸發(fā)展起來,并開始逐步追求高精度、可靠性、參數(shù)可調(diào)性和高性價比,并且逐漸成為時代主流。而且隨著控制理論和大規(guī)模集成電路的進一步發(fā)展,數(shù)字系統(tǒng)中更多的功能將由軟件來實現(xiàn),這將是數(shù)字量信號發(fā)生器的一個發(fā)展趨勢。本課題的設(shè)計方案也正是根據(jù)以上這種方法來實現(xiàn)的。因此,采用高精度數(shù)字芯片作為數(shù)字信號發(fā)生器的主處理芯片是十分必要的。2.2信號發(fā)生器方案綜述2.2.1傳統(tǒng)信號發(fā)生器的設(shè)計原理傳統(tǒng)信號發(fā)生器的組成通常都有如下幾個部分:主振級、調(diào)制級、輸出級、內(nèi)調(diào)制振蕩器、監(jiān)視器等。其原理圖如圖2.1所示。圖2.1傳統(tǒng)信號發(fā)生器的原理框圖主振級電路是一個自激振蕩器,它是信號發(fā)生器中最基本的一部分。信號發(fā)生器的一些重要工作特性主要由主振級的工作狀態(tài)來決定,例如工作頻率范圍、頻率穩(wěn)定度等。主振級產(chǎn)生具有一定的工作頻率范圍的正弦信號,此信號被送到調(diào)制級進行調(diào)制、放大。所謂調(diào)制是指在保持振蕩器載波幅度不變的情況下,使其頻率按照預(yù)定規(guī)律變化的過程;然后將信號送到輸出級以保證有一定的輸出電平變化范圍。內(nèi)調(diào)制振蕩器供給調(diào)幅時所需的音頻正弦信號。監(jiān)視器用來監(jiān)測輸出信號的載波電平和調(diào)制系數(shù)。下面介紹一下傳統(tǒng)的正弦波的產(chǎn)生原理。正弦波產(chǎn)生電路的目的就是使電路產(chǎn)生一定頻率和幅度的正弦波。一般是在放大電路中引入正反饋,并創(chuàng)造條件,使其產(chǎn)生穩(wěn)定可靠的振蕩。因此,振蕩電路是產(chǎn)生正弦波的關(guān)鍵。產(chǎn)生振蕩的電路,即振蕩器,原則上是由一個放大器和一個反饋網(wǎng)絡(luò)連接在一起而構(gòu)成的電路。由于電路的輸入信號,因此在放大電路的輸入端外接一定頻率、一定幅度的正弦信號,經(jīng)過基本放大電路和反饋網(wǎng)絡(luò)所構(gòu)成的環(huán)路傳輸后,在反饋網(wǎng)絡(luò)的輸出端便得到反饋信號價,其方框圖如圖2.2所示。圖2.2振蕩器的方框圖正弦波產(chǎn)生電路的基本結(jié)構(gòu)是:引入正反饋的反饋網(wǎng)絡(luò)和放大電路。其中:接入正反饋是產(chǎn)生振蕩的首要條件,它又被稱為相位條件;產(chǎn)生振蕩必須滿足幅度條件;要保證輸出波形為單一頻率的正弦波,必須具有選頻特性;同時它還應(yīng)具有穩(wěn)幅特性。因此,正弦波產(chǎn)生電路一般包括:放大電路、反饋網(wǎng)絡(luò)、選頻網(wǎng)絡(luò)和穩(wěn)幅電路四個部分。按選頻網(wǎng)絡(luò)的元件類型,把正弦振蕩電路分為:RC正弦波振蕩電路,LC正弦波振蕩電路和石英晶體正弦波振蕩電路。常見的RC正弦波振蕩電路是RC串并聯(lián)式正弦波振蕩電路,它又被稱為橋氏正弦波振蕩電路。串并聯(lián)網(wǎng)絡(luò)在此作為選頻和反饋網(wǎng)絡(luò),它的電路原理圖如圖2.3所示。若,則它的起振條件為:;振蕩頻率為:。圖2.3橋式正弦波振蕩電路RC正弦波振蕩電路主要用于低頻振蕩。要想產(chǎn)生更高頻率的正弦信號,一般采用LC正弦波振蕩電路,它的電路原理圖如圖2.4所示。它的振蕩頻率為。圖2.4簡單LC并聯(lián)電路無論是RC振蕩電路還是LC振蕩電路,它們的頻率都決定于R,C或L,C等參數(shù)。由于工藝上的限制,電阻、電容和電感等元件的穩(wěn)定性往往難以達到必要的程度。這就使之難以利用這些振蕩器來產(chǎn)生頻率十分穩(wěn)定的正弦信號。石英晶體振蕩器是利用石英晶體的壓電效應(yīng)來產(chǎn)生振蕩的一種電路。石英晶體振蕩器的特點是其振蕩頻率特別穩(wěn)定,它常用于振蕩頻率高度穩(wěn)定的場合。2.2.2數(shù)字信號發(fā)生器的設(shè)計原理在電子技術(shù)領(lǐng)域中,實驗人員經(jīng)常用到信號發(fā)生器。長期以來,信號發(fā)生器都是用模擬電路來實現(xiàn)的。這類信號源頻率可以高達幾百兆赫茲,在高頻范圍內(nèi)其頻率穩(wěn)定性高、可調(diào)性好。然而在許多其它的領(lǐng)域里所需要的是低頻信號源。由傳統(tǒng)的方法構(gòu)成的低頻信號源,其性能不能令人滿意,而且在產(chǎn)生低頻信號的RC電路中的電阻、電容都要很大。大電阻、大電容的制造是很困難的,參數(shù)的準(zhǔn)確度難以保證,體積大,漏電損耗顯著。隨著電子技術(shù)的逐步發(fā)展,數(shù)字芯片的出現(xiàn)很好地解決了這一難題。本文采用TI公司C2000系列TMS320F2812DSP處理芯片,利用DSP強大的運算功能和軟件定時中斷方式,通過DSP的GPIO端口定時輸出精確、可靠的階躍、等速和正弦波形信號。ATMega128單片機給DSP發(fā)送控制指令,可以準(zhǔn)確地控制其輸出波形的形狀和大小等。2.3數(shù)字信號發(fā)生器的總體方案設(shè)計2.3.1數(shù)字信號發(fā)生器的性能指標(biāo)在調(diào)試伺服系統(tǒng)時,通常測試系統(tǒng)的四項性能指標(biāo)。(1)系統(tǒng)在各個位置的靜態(tài)誤差角(靜差)。(2)階躍輸入時的動態(tài)響應(yīng)指標(biāo)(超調(diào)量、振蕩次數(shù)、調(diào)節(jié)時間)。(3)等速跟蹤達到穩(wěn)態(tài)后系統(tǒng)的誤差角(速度誤差)。(4)正弦跟蹤達到穩(wěn)態(tài)后系統(tǒng)的誤差角(正弦誤差)。這些性能指標(biāo)能較全面地反映系統(tǒng)穩(wěn)態(tài)、速度、加速度等各方面的性能。作為伺服系統(tǒng)輸入信號源的數(shù)字量信號發(fā)生器,在測試系統(tǒng)上述四項指標(biāo)時,應(yīng)能提供:階躍波形、等速正(反)轉(zhuǎn)波形和正弦波形這三種信號。數(shù)字量信號發(fā)生器選用了DSP作為處理芯片,借助于它的集成度高、處理速度快、片上資源豐富、可靠性好等特點,通過軟件定時中斷,產(chǎn)生階躍,等速正(反)轉(zhuǎn),正弦三種波形信號,每種信號都為16位的二進制碼,TTL電平。①階躍信號參數(shù):設(shè)定位置(mil)??梢詮娜我庵弟S到另一任意值,以mil為單位,誤差小于0.1mil。其中:(360度=6000mi1)。②等速正轉(zhuǎn)信號參數(shù):速度(mil/s),起始點(mil),終止點(mil),加速時間(s)。速度:=1~2999.99mi1/s,誤差小于1%。當(dāng)設(shè)定起始點和終止點后,波形以設(shè)定的速度在起始點和終止點之間進行往返運行;當(dāng)速度較大時,可設(shè)置加速時間段,波形在加速時間段里從0加速到設(shè)定值,以便系統(tǒng)能很好地跟蹤設(shè)定信號。③等速反轉(zhuǎn)信號等速反轉(zhuǎn)信號參數(shù)及要求等同于等速正轉(zhuǎn)信號。④正弦信號參數(shù):周期(s),幅值(mil),中心點(mil),增幅次數(shù)No周期:T=0.1~99.99s。單振幅:A=0~2999.99mi1,誤差<0.1mil。振幅中心:A0~2999.99}2999.99mi1,誤差<0.lmil。為使系統(tǒng)平緩地跟蹤設(shè)定信號,可選擇波形達到設(shè)定幅值時運行次數(shù)N。2.3.2系統(tǒng)總體方案設(shè)計本系統(tǒng)的設(shè)計分為兩個部分:系統(tǒng)的硬件設(shè)計和軟件設(shè)計。硬件部分總體結(jié)構(gòu)(如圖2.5所示)主要由三部分構(gòu)成:由ATMega128單片機實現(xiàn)的人機交互系統(tǒng),它包括CPU模塊、鍵盤模塊、顯示模塊以及外設(shè)接口等;由TMS320F2812DSP實現(xiàn)的波形發(fā)生系統(tǒng),它包括CPU模塊、外擴存儲模塊、串口模塊、CAN模塊等;用于實現(xiàn)DSP和ATMegal28單片機數(shù)據(jù)交換和通信的雙口RAM模塊。圖2.5數(shù)字信號發(fā)生器硬件總體框圖本系統(tǒng)軟件分為驅(qū)動軟件和應(yīng)用軟件,因此要分別對這兩個軟件層進行設(shè)計。軟件中涉及到對器件訪問的功能都被設(shè)計成驅(qū)動模塊,驅(qū)動軟件層提供必要的系統(tǒng)硬件訪問函數(shù)接口,起到應(yīng)用軟件層與硬件層之間通信的媒介作用,從而優(yōu)化了系統(tǒng)軟件結(jié)構(gòu),方便編程的實現(xiàn)。本系統(tǒng)驅(qū)動軟件模塊如圖2.6所示:圖2.6系統(tǒng)驅(qū)動程序模塊框圖驅(qū)動接口層盡量屏蔽應(yīng)用層軟件對硬件的直接操作,這樣可使應(yīng)用軟件層的設(shè)計完全面向系統(tǒng)功能,為其進一步的模塊化打下了良好的基礎(chǔ)。應(yīng)用軟件部分的設(shè)計嚴(yán)格按照自頂向下的結(jié)構(gòu)化設(shè)計思想,將問題分解成若干個相對簡單的小問題,每個小問題對應(yīng)一個子功能模塊,然后對各個子功能模塊分別進行程序設(shè)計。采用這種設(shè)計方法是由系統(tǒng)的特點決定的,結(jié)構(gòu)化的程序設(shè)計方法有利于分化問題,理清編程思路,大大降低了設(shè)計難度。本系統(tǒng)應(yīng)用軟件設(shè)計框圖如圖2.7所示:圖2.7系統(tǒng)應(yīng)用軟件設(shè)計框圖3數(shù)字信號發(fā)生器的硬件設(shè)計3.1系統(tǒng)的硬件總體設(shè)計系統(tǒng)硬件的整體結(jié)構(gòu)框圖如圖3.1所示,由圖可見,整個系統(tǒng)已完成對芯片型號的選取,方便了以后對系統(tǒng)的調(diào)試。硬件部分主要由三部分組成:由ATMega128單片機實現(xiàn)的人機交互系統(tǒng),包括CPU模塊、鍵盤模塊、顯示模塊等;由TMS320F2812DSP實現(xiàn)的波形發(fā)生系統(tǒng),由CPU模塊、外擴存儲模塊、串口模塊、CAN模塊等構(gòu)成;用于實現(xiàn)DSP和ATMega128單片機數(shù)據(jù)交換和通信的雙口RAM模塊。圖3.1系統(tǒng)硬件整體框圖3.2系統(tǒng)各個模塊的硬件設(shè)計3.2.1控制板電源電路設(shè)計在現(xiàn)代嵌入式系統(tǒng)中,尤其是在需要電池供電的系統(tǒng)中,電源部分的設(shè)計是整個硬件系統(tǒng)設(shè)計過程中非常重要的一個部分。對電源的要求一般有以下幾點:輸出穩(wěn)定、噪聲低、效率高。以上三點是性能上的要求,對于電源,最為基本的要求是能夠輸出足夠大的電流,也就是輸出功率滿足系統(tǒng)消耗的要求。對于本硬件系統(tǒng),就電壓而言,分兩組:3.3V和1.9V,3.3V用于ATMega128單片機和DSP的CPU的I/O口和外設(shè)的供電,1.9V用于DSP的CPU內(nèi)核的供電。而整個系統(tǒng)外部提供的電壓為高質(zhì)量的+5V的直流穩(wěn)壓電源,該電源可以是電池或是AC-DC模塊。經(jīng)過電壓轉(zhuǎn)換芯片的轉(zhuǎn)換,可以得到3.3V和1.9V的供電電壓。由于ATMegal28單片機CPU只需要3.3V電壓供電,因此,在此只需要考慮DSP的CPU電壓供電問題。根據(jù)TI的官方網(wǎng)站上推薦的典型電源轉(zhuǎn)換電路并且考慮到DSP的上電順序問題,選擇了TPS75733}TPS76801Q兩款電源轉(zhuǎn)換芯片,TPS75733實現(xiàn)+5V到+3.3V的電源轉(zhuǎn)換,電源轉(zhuǎn)換電路如圖3.2所示。圖3.25V轉(zhuǎn)3.3V電源電路在制作PCB板時,由于PCB的布局對電源的影響很大,為保證電源可靠性,需注意以下幾點:避免產(chǎn)生電源和地環(huán)路。(2)每個電源引腳附近放置10~100nF電容,以平滑電源的波動,去掉高頻干擾;并接大的電解電容1~10uF去掉低頻干擾。(3)PCB四周均勻分布一些4.7~10uF的去禍電容。(4)電源線除了要根據(jù)電流大小,盡量加大導(dǎo)線寬度外,采取使電源線、地線的走線與數(shù)據(jù)信息傳遞方向一致,能增強抗噪聲的能力。3.2.2鍵盤、顯示電路設(shè)計(1)鍵盤本系統(tǒng)采用軟件行列掃描的方式實現(xiàn)一個5X5的矩陣式小鍵盤,ATMega128單片機的10個vo口,作為小鍵盤的接口(如圖3.3,3.4所示),鍵盤接口電路采用20個針的單排針接口,其中:引腳PE4~PE7,PB0作為行選擇線,用于輸出,且經(jīng)電壓轉(zhuǎn)換芯片后與KEYOUT0~KEYOUT4相連;引腳PE0~PE3,PD0作為列選擇線,用于輸入,且經(jīng)電壓轉(zhuǎn)換芯片后與KEYIN0~KEYIN4相連。圖3.3鍵盤接口電路圖圖3.4矩陣鍵盤連接圖(2)LCD顯示屏本系統(tǒng)LCD接口設(shè)計是以北京精電蓬遠顯示技術(shù)有限公司提供的液晶模塊SED13305板與MCU的接口說明為標(biāo)準(zhǔn)。接口說明如表3.1,具體硬件電路圖如圖3.5所示。表3.1液晶模塊與MCU接口圖3.5液晶顯示模塊接口電路圖液晶模塊由外部提供+5V電源,經(jīng)內(nèi)部電路電平轉(zhuǎn)換后在3腳上輸出一個一24V左右的負電壓。負電壓的作用是向18號引腳提供可調(diào)的負電壓調(diào)節(jié)液晶屏的對比度,以便獲得最佳顯示效果。第13引腳為LCD顯示屏的復(fù)位引腳。片選信號CE,讀寫信號RD,WR,功能選擇信號TO均連接在ATMega128單片機的I/O口上,數(shù)據(jù)線LDB0~LDB7通過電壓轉(zhuǎn)換芯片也連到ATMega128單片機的I/O口上。3.3串口模塊電路設(shè)計該模塊的硬件電路設(shè)計采用MAXIM公司設(shè)計的MAX3232芯片,用于完成DSP與PC機之間的電平轉(zhuǎn)換與驅(qū)動。MAX3232型的RS-232收發(fā)器,簡單易用,僅需外接幾個電容即可完成從TTL電平到RS-232電平的轉(zhuǎn)換。MAX3232芯片工作電壓是3.3V,提供多串口管腳,可用于串口擴展電路,具體的接口電路圖如圖3.6所示:圖3.6RS232接口電路圖4數(shù)字信號發(fā)生器的軟件設(shè)計本文所設(shè)計的函數(shù)信號發(fā)生器硬件部分非常簡單,其功能主要是靠軟件控制來實現(xiàn)的,在此只介紹方波信號、正弦波信號和三角波信號的產(chǎn)生,正弦波信號和三角波信號的產(chǎn)生是利用前面所提到的數(shù)字法(即通過DAC變換)來實現(xiàn)的,由于用到了DAC變換,因此所產(chǎn)生的正弦波和三角波信號的頻率受到了DAC轉(zhuǎn)化速率的限制,STM32F103ZET6中DAC模塊的最大轉(zhuǎn)化時間為l,如果一個周期的波形用20點來描繪的話,最終所實現(xiàn)的函數(shù)信號發(fā)生器的頻率理論上最大可以達到50KHz,為了使得輸出方波的頻率范圍更大,在此方波信號的產(chǎn)生不采用這種方法。4.1系統(tǒng)處理主程序設(shè)計在識別完鍵碼并計算出鍵值后,鍵盤作鍵值處理便開始執(zhí)行波形發(fā)生子程序。鍵盤執(zhí)行的總體過程是:首先選擇波形模式(階躍、等速、正弦),然后輸入不同的參數(shù)并保存。當(dāng)選擇階躍波形模式時,輸入完階躍位參數(shù)后并返回到主程序中,等待進入波形發(fā)生子程序部分;當(dāng)選擇等速波形模式時,需要輸入角速度、起始位、終止位、加速時間四個參數(shù)值后返回到主程序中,等待進入波形發(fā)生子程序部分;當(dāng)選擇正弦波形模式時,需要輸入周期、幅值、中心點、增幅次數(shù)四個參數(shù)后返回到主程序中,等待進入波形發(fā)生子程序部分。具體的軟件實現(xiàn)流程圖如圖4.1所示。圖4.1鍵盤執(zhí)行波形子程序發(fā)生的流程圖4.2系統(tǒng)功能實現(xiàn)的軟件設(shè)計這部分的軟件設(shè)計主要是為了滿足雙CPU之間的通信要求。首先,系統(tǒng)初始化完成后,128單片機查詢是否有波形控制鍵被按下,當(dāng)有控制鍵被按下,則128單片機計算出鍵值,然后執(zhí)行鍵值處理子程序,處理完成后得到一個鍵值指令,并把它存儲到雙口RAM的地址單元中。雙口RAM的存儲空間為0x002000~0x006000。接著等待DSP通過雙口RAM讀取該指令信號。當(dāng)DSP成功讀取到地址單元中指令值后,128單片機繼續(xù)向雙口RAM地址空間寫入?yún)?shù)數(shù)據(jù),這些參數(shù)數(shù)據(jù)來自鍵盤的輸入值。同時128單片機也向LCD顯示RAM中寫入這些參數(shù)數(shù)據(jù),在LCD顯示屏上顯示這些參數(shù)。當(dāng)DSP成功接收到這些數(shù)據(jù)時,便開始執(zhí)行波形發(fā)生子程序。因此,雙CPU系統(tǒng)通信的整個過程可概述為:根據(jù)鍵盤的鍵值處理,ATMega128單片機通過雙口RAM端口向DSP發(fā)送指令和參數(shù),主要控制數(shù)字信號發(fā)生器的波形形狀和大小;并且在LCD顯示屏上顯示這些參數(shù)。以下是雙CPU系統(tǒng)通信的程序流程圖,如圖4.2所示。圖4.2CPU系統(tǒng)通信的程序流程圖功能實現(xiàn)的軟件設(shè)計采用C語言編寫,并且在兩個開發(fā)環(huán)境下進行編譯與仿真,雙CPU系統(tǒng)硬件聯(lián)調(diào)的成功是系統(tǒng)功能實現(xiàn)的關(guān)鍵。數(shù)字量波形信號的產(chǎn)生與顯示當(dāng)接收到單片機發(fā)來的指令信號后,DSP的I/O口設(shè)置為輸出方式、并且判斷波形標(biāo)志位,當(dāng)設(shè)置完成后系統(tǒng)進行循環(huán)等待(不斷給看門狗清零、判斷波形標(biāo)志位)。當(dāng)波形標(biāo)志位為1時,DSP開定時器中斷,跳入定時中斷服務(wù)程序,準(zhǔn)備執(zhí)行波形發(fā)生子程序。進入波形處理部分(如圖4.2虛線框所示)后,首先是設(shè)置波形標(biāo)志位為0,然后執(zhí)行波形發(fā)生子程序。當(dāng)程序跳出處理部分后必須要波形標(biāo)志位再次為1時才能再次進入。通過定時中斷處理,每隔lms定時輸出數(shù)字量波形信號。在定時輸出的時候,不會插入任何的延時時間。虛線框內(nèi)的內(nèi)容將在第五章作詳細的介紹。DSP輸出的是十六位二進制數(shù)值(調(diào)用voidhextobinary)函數(shù)把輸出的十六進制數(shù)值轉(zhuǎn)換為二進制數(shù)值),并把數(shù)據(jù)寫入到雙口RAM中,等待128單片機讀取。需要注意的是,DSP讀取數(shù)據(jù)位為16位而單片機讀取數(shù)據(jù)為8位。因此,在128單片機讀取來自DSP傳送來的數(shù)據(jù)時,應(yīng)先讀雙口RAM相應(yīng)地址單元的低8位數(shù)據(jù),再讀高8位數(shù)據(jù),并放入緩沖器中,經(jīng)軟件處理后,128單片機把數(shù)據(jù)寫入LCD顯示RAM中,實現(xiàn)對波形信號的顯示。以下是數(shù)字量波形信號產(chǎn)生與顯示的程序流程圖,如圖4.3所示。圖4.3數(shù)字量波形信號的產(chǎn)生與顯示的程序流程圖5結(jié)束語數(shù)字信號發(fā)生器是一種新型的電子測量儀器,在做實驗、進行產(chǎn)品研制和調(diào)試以及系統(tǒng)測試中都是必不可少的。傳統(tǒng)的信號源輸出的信號種類是非常有限的,滿足不了用戶的要求。本文采用DSP的定時中斷方式,每隔lms,通過單片機的GPIO端口定時輸出階躍、等速、正弦三種數(shù)字量信號,用于測試伺服系統(tǒng)及其它測量電路的各種靜態(tài)、動態(tài)性能指標(biāo)。系統(tǒng)以TMS320F2812DSP芯片作為運算處理芯片來產(chǎn)生數(shù)字量波形信號;設(shè)計出的這種新型數(shù)字信號發(fā)生器的發(fā)展前景十分廣闊。本文在分析了國內(nèi)外數(shù)字信號發(fā)生器的發(fā)展?fàn)顩r的基礎(chǔ)上,對數(shù)字信號技術(shù)的理論、設(shè)計方法、電路實現(xiàn)等進行了深入的研究,歸納起來主要做了如下幾方面的工作:根據(jù)系統(tǒng)的設(shè)計要求,采用以TMS320F2812DSP為波形發(fā)生芯片以及ATMega128單片機為人機交互系統(tǒng)的主控芯片的雙CPU結(jié)構(gòu),并配置它們的外設(shè)及接口電路,給出了基于雙CPU結(jié)構(gòu)的數(shù)字信號發(fā)生器的總體方案。(2)對硬件電路進行設(shè)計并加以調(diào)試,主要包括如下幾個部分:雙CPU控制電路、存儲器(Flash、外擴SDRAM、雙口RAM)電路、外部接口(異步串行通信接口、CAN通信接口)電路等。(3)編寫相應(yīng)的接口驅(qū)動程序以及系統(tǒng)應(yīng)用程序。(4)對實現(xiàn)系統(tǒng)功能的軟件程序加以調(diào)試,主要包括如下幾個部分:人機交互程序、系統(tǒng)應(yīng)用主程序以及數(shù)字量波形信號的算法實現(xiàn)。軟件設(shè)計的主要難點和重點是數(shù)字量波形信號的算法設(shè)計和雙CPU系統(tǒng)之間通信的軟件設(shè)計這兩部分。(5)對輸出的波形信號進行誤差分析及性能分析,看是否滿足系統(tǒng)的設(shè)計要求。運行結(jié)果表明,本文設(shè)計的數(shù)字信號發(fā)生器產(chǎn)生的波形具有精度高、波形穩(wěn)定、失真小和抗干擾能力強的特點;而且數(shù)字信號發(fā)生器的操作十分方便,運行比較穩(wěn)定,滿足用戶的要求。參考文獻[1]陶益凡.基于DDS的信號發(fā)生器的研制[D]:[碩士學(xué)位論文].南京:南京信息工程大學(xué),2006.5[2]屈新建,常義林.基于DDS+PLL頻率合成源的設(shè)計[J].現(xiàn)代電子技術(shù).2005,03期:115—117[3]奕寶寬,方蕾,馮永浩.基于DDS的信號發(fā)生器的設(shè)計與實現(xiàn)[J].電子工程師.2005,10期:38-39[4]王曉升,沙勝賢.基于DDS的任意波形發(fā)生器實現(xiàn)[J].長春工程學(xué)院學(xué)報(自然科學(xué)版).2005,02:56-58[5]薛文.DDS任意波形的設(shè)計與實現(xiàn)[D]:[碩士學(xué)位論文]

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論