數(shù)字電子課程設(shè)計(jì)彩燈控制器_第1頁(yè)
數(shù)字電子課程設(shè)計(jì)彩燈控制器_第2頁(yè)
數(shù)字電子課程設(shè)計(jì)彩燈控制器_第3頁(yè)
數(shù)字電子課程設(shè)計(jì)彩燈控制器_第4頁(yè)
數(shù)字電子課程設(shè)計(jì)彩燈控制器_第5頁(yè)
已閱讀5頁(yè),還剩5頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

課程設(shè)計(jì)說(shuō)明書(shū)課程名稱(chēng):電子課程設(shè)計(jì)設(shè)計(jì)題目:彩燈控制器班級(jí):測(cè)控111姓名:學(xué)號(hào):指導(dǎo)老師:設(shè)計(jì)時(shí)間:2013-7-8~~2013-7-17摘要:近年來(lái),由于集成電路的迅速發(fā)展,使得數(shù)字邏輯電路的設(shè)計(jì)發(fā)生了根本性的變化。在設(shè)計(jì)中更多的使用中規(guī)模集成電路,不僅可以減少電路組件的數(shù)目,使電路簡(jiǎn)捷,而且能提高電路的可靠性,降低成本。因此用集成電路來(lái)實(shí)現(xiàn)更多更復(fù)雜的器件功能則成為必然。現(xiàn)代生活中,彩燈越來(lái)越成為人們的裝飾品,它不僅美化環(huán)境,渲染氣氛,還可以用于娛樂(lè)場(chǎng)所和電子玩具中去,現(xiàn)以該課題為例進(jìn)行分析與設(shè)計(jì)可編程的彩燈控制的電路很多,構(gòu)成方式和采用的集成片種類(lèi)、數(shù)目更是五花八門(mén),而且有專(zhuān)門(mén)的可編程彩燈控制電路。絕大多數(shù)的彩燈控制電路都是數(shù)字電路來(lái)實(shí)現(xiàn),例如:用中規(guī)模集成電路實(shí)現(xiàn)的彩燈控制器主要用計(jì)時(shí)器、譯碼器、分配器和移位寄存器等集成。本次設(shè)計(jì)的可編程彩燈控制電路就是用計(jì)數(shù)器和譯碼器等來(lái)實(shí)現(xiàn)的。關(guān)鍵詞:計(jì)數(shù)器;555定時(shí)器;發(fā)光二極管1.AbstractInrecentyears,duetotherapiddevelopmentcircuits,makedigitallogiccircuitdesignundergoneafundamentalchange.Inthedesignmoreusescaleintegratedcircuit,notonlycanreducethenumberofcircuitcomponents,thecircuit,andcanimprovethesimplicityofthecircuitreliability,reducethecost.Sowithintegratedcircuittoachievemorecomplexdevicefunctionisinevitable.Inmodernlifelanternhasbecomemoreandmorepeopleadornment,itnotonlycanbeautifytheenvironment,renderingatmosphere,itcanalsobeusedinentertainmentandelectronictoys,nowwiththistopicforexampleanalysisanddesignprogrammablelightscontrolcircuitmany,theformsandintegratedpiecetype,number,andmultifarioushasspecialprogrammablecircularlightscontrolcircuit.Mostofthelightscontrolcircuitrealizedwiththedigitalcircuits,forexample,usemediumscaleintegratedcircuitrealizedwiththelightscontrollermainlycounteranddecoder,distributorsandshiftregisterectintegration.Thedesignoftheprogrammablelightscontrolcircuitistouseregisters,counteranddecoder,ect.Torealize,itscharacteristicisusinglight-emittingdiodesdisplay,realizepresentprogrammingcircularfunction.Keywords:LED;Counter;ME555目錄1.緒論···············································1······································2················································2················································2···············································23.總體方案設(shè)計(jì)········································3············································33.2總體設(shè)計(jì)原理···········································33.3總體設(shè)計(jì)元件···········································4··························································································4.2七進(jìn)制計(jì)數(shù)器·······················4.3譯碼及邏輯電路··········································5.仿真調(diào)試及結(jié)果······················································································································································································································································參考文獻(xiàn)···········································附錄················································1.緒論節(jié)日彩燈使生活中常常用到的裝飾物品。它集中地運(yùn)用了集成電路、LED,自動(dòng)控制等技術(shù),是典型的基于單片機(jī)的電子產(chǎn)品。隨著計(jì)算機(jī)、微電子、信息技術(shù)的快速進(jìn)步,智能化技術(shù)的開(kāi)發(fā)速度越來(lái)越快,智能度越來(lái)越高,應(yīng)用范圍也得到了極大的擴(kuò)展。在海洋開(kāi)發(fā)、宇宙探測(cè)、工農(nóng)業(yè)生產(chǎn)、軍事、社會(huì)服務(wù)、娛樂(lè)等各個(gè)領(lǐng)域。在娛樂(lè)方面,場(chǎng)地的裝飾離不開(kāi)彩燈。在建筑方面也采用彩燈來(lái)裝飾高樓大廈。彩燈又靈活多變的點(diǎn)亮方式,裝飾效果非常好,特別時(shí)晚上使得高樓大廈更加漂亮。是彩燈的應(yīng)用才使得城市的夜景非常迷人?,F(xiàn)代生活中,彩燈越來(lái)越成為人們的裝飾品,它不僅美化環(huán)境,渲染氣氛,還可以用于娛樂(lè)場(chǎng)所和電子玩具中去,現(xiàn)以該課題為例進(jìn)行分析與設(shè)計(jì)可編程的彩燈控制的電路很多,構(gòu)成方式和采用的集成片種類(lèi)、數(shù)目更是五花八門(mén),而且有專(zhuān)門(mén)的可編程彩燈控制電路。絕大多數(shù)的彩燈控制電路都是數(shù)字電路來(lái)實(shí)現(xiàn),例如:用中規(guī)模集成電路實(shí)現(xiàn)的彩燈控制器主要用計(jì)時(shí)器、譯碼器、分配器和移位寄存器等集成。2.設(shè)計(jì)任務(wù)及要求設(shè)計(jì)目的根據(jù)常用的電子技術(shù)知識(shí),以及可獲得技術(shù)書(shū)籍與電子文檔,初步形成電子設(shè)計(jì)過(guò)程中收集、閱讀及應(yīng)用技術(shù)資料的能力;熟悉電子系統(tǒng)設(shè)計(jì)的一般流程;掌握分析電路原理、工程計(jì)算及對(duì)主要技術(shù)性能進(jìn)行測(cè)試的常見(jiàn)方法;最終,完成從設(shè)計(jì)圖紙到實(shí)物搭建的整個(gè)過(guò)程,并調(diào)試作品。設(shè)計(jì)任務(wù)在文藝及休閑娛樂(lè)場(chǎng)所,各種圖案的彩燈,若明若暗,相互映照,不僅增加了歡快的氣氛,且給人以美的享受。彩燈控制器就是將構(gòu)成一定圖案的彩燈按照人們的要求依照一定的規(guī)律周期性的亮暗變化,利用人的視覺(jué)特性,形成多姿多彩的光學(xué)效果。彩燈控制器的原理框圖如圖1所示。脈沖信號(hào)源產(chǎn)生一定頻率的矩形波電壓信號(hào),驅(qū)動(dòng)七進(jìn)制計(jì)數(shù)器的工作,最后通過(guò)組合邏輯電路把七進(jìn)制計(jì)數(shù)器的輸出信號(hào)變換為驅(qū)動(dòng)彩燈按一定的規(guī)律周期性或點(diǎn)亮或熄滅或閃爍的信號(hào)。設(shè)計(jì)要求設(shè)計(jì)一個(gè)彩燈控制器,要求如下:(1)由3只彩燈(發(fā)光二極管)組成圖案。(2)控制紅、綠、黃一組彩燈循環(huán)閃亮,變化的規(guī)律是:紅→紅綠→綠→黃綠→黃→全亮→全滅→紅。(3)可以調(diào)整閃亮速度的快慢。3.總體方案設(shè)計(jì)近年來(lái),由于中,大規(guī)模集成電路的迅速發(fā)展,使得數(shù)字邏輯電路的設(shè)計(jì)發(fā)生了根本性的變化。在設(shè)計(jì)中更多的使用中。大規(guī)模集成電路,不僅可以減少電路組件的數(shù)目,使電路簡(jiǎn)捷,而且能提高電路的可靠性,降低成本。因此,彩燈控制器總體方案設(shè)計(jì)如下:1.據(jù)總的功能和技術(shù)要求,把復(fù)雜的邏輯系統(tǒng)分解成若干個(gè)單元系統(tǒng),單元的數(shù)目不宜太多,每個(gè)單元也不能太復(fù)雜,以方便檢修。2.各個(gè)單元電路由標(biāo)準(zhǔn)集成電路來(lái)組成,選擇合適的集成電路及器件構(gòu)成單元電路。3.各個(gè)單元電路間的連接,所有單元電路在時(shí)序上應(yīng)協(xié)調(diào)一致,滿(mǎn)足工作需求,相互間電氣特性應(yīng)匹配,保證電路能正常,協(xié)調(diào)工作。設(shè)計(jì)任務(wù)中所要求的7種循環(huán)方式并不復(fù)雜,用中小規(guī)模集成電路就能實(shí)現(xiàn)。本控制應(yīng)由脈沖信號(hào)源,七進(jìn)制計(jì)數(shù)器,基本邏輯電路和彩燈等組成,其框圖如圖1所示:圖1彩燈控制器框圖該設(shè)計(jì)電路由脈沖信號(hào)源提供時(shí)間脈沖給七進(jìn)制計(jì)數(shù)器,再由七進(jìn)制計(jì)數(shù)器的輸出經(jīng)過(guò)譯碼器和基本邏輯電路來(lái)實(shí)現(xiàn)彩燈的七種循環(huán)方式。其實(shí)現(xiàn)電路如下圖2所示:圖23.3總體設(shè)計(jì)元件3.3.1555定時(shí)器555定時(shí)器是設(shè)計(jì)電路中脈沖信號(hào)源的核心原件。它是一種集模擬、數(shù)字于一體的中規(guī)模集成電路,其應(yīng)用極為廣泛。它不僅用于信號(hào)的產(chǎn)生和變換,還常用于控制和檢測(cè)電路中。本設(shè)計(jì)電路就運(yùn)用了它的前一個(gè)特點(diǎn):信號(hào)的產(chǎn)生和變換。定時(shí)器有雙極型和COMS兩種類(lèi)型的產(chǎn)品,它們的結(jié)構(gòu)及工作原理基本相同,沒(méi)有本質(zhì)區(qū)別。一般來(lái)說(shuō),雙極型定時(shí)器的驅(qū)動(dòng)能力較強(qiáng),電源電壓范圍為5V-16V,最大負(fù)載電流可達(dá)到200MA。而CMOS定時(shí)器的電源電壓范圍為3-18V,最大負(fù)載電流在4MA以下,它具有功耗低、輸入阻抗高等優(yōu)點(diǎn)。1.電路結(jié)構(gòu)555定時(shí)器的內(nèi)部電路由分壓器、電壓比較器C1和C2、簡(jiǎn)單SR鎖存器、放電三極管T以及緩沖器G組成,其外內(nèi)部結(jié)構(gòu)圖如下圖3圖4所示。圖3。555定時(shí)器內(nèi)部圖圖4.555定時(shí)器外部引腳圖2.工作原理電壓比較器的參考電壓由三只5KΩ的電阻器構(gòu)成分壓,它們分別使高電平比較器A1同相比較端和低電平比較器A2的反相輸入端的參考電平為和。A1和A2的輸出端控制RS觸發(fā)器狀態(tài)和放電管開(kāi)關(guān)狀態(tài)。當(dāng)輸入信號(hào)輸入并超過(guò)Vcc/3時(shí),觸發(fā)器復(fù)位,555的輸出端3腳輸出低電平,同時(shí)放電,開(kāi)關(guān)管導(dǎo)通;當(dāng)輸入信號(hào)自2腳輸入并低于2Vcc/3時(shí),觸發(fā)器置位,555的3腳輸出高電平,同時(shí)放電,開(kāi)關(guān)管截止。是復(fù)位端,當(dāng)其為0時(shí),555輸出低電平。平時(shí)該端開(kāi)路或接VCC。Vc是控制電壓端(5腳),平時(shí)輸出作為比較器A1的參考電平,當(dāng)5腳外接一個(gè)輸入電壓,即改變了比較器的參考電平,從而實(shí)現(xiàn)對(duì)輸出的另一種控制,在不接外加電壓時(shí),通常接一個(gè)0.01uf的電容器到地,起濾波作用,以消除外來(lái)的干擾,以確保參考電平的穩(wěn)定。T為放電管,當(dāng)T導(dǎo)通時(shí),將給接于腳7的電容器提供低阻放電電路。綜上所述,可得555定時(shí)器功能表,如下表1所示:輸入輸出閾值輸入(Vi1)觸發(fā)輸入(Vi2)復(fù)位()輸出(V0)放電管TXX00導(dǎo)通<2Vcc/3<Vcc/311截止>2Vcc/3>Vcc/310導(dǎo)通<2Vcc/3>Vcc/31不變不變(1).構(gòu)成施密特觸發(fā)器,用于TTL系統(tǒng)的接口,整形電路等。(2).構(gòu)成多諧振蕩器,組成信號(hào)產(chǎn)生電路。(3).構(gòu)成單穩(wěn)態(tài)觸發(fā)器,用于定時(shí)延時(shí)整形等。555定時(shí)器應(yīng)用電路采用這3種方式中的一種或多種組合起來(lái)可以組成各種實(shí)用的電子電路,如:定時(shí)器、分頻器、脈沖信號(hào)發(fā)生器、元件參數(shù)和電路檢測(cè)電路、自動(dòng)控制電路和頻率變換電路等。本設(shè)計(jì)應(yīng)用的就是555定時(shí)器構(gòu)成多諧振蕩器來(lái)產(chǎn)生脈沖信號(hào)發(fā)生器。計(jì)數(shù)器芯片74LS160計(jì)數(shù)器是最常用的時(shí)序電路之一,它們不僅可用于對(duì)脈沖進(jìn)行計(jì)數(shù),還可用于分頻、定時(shí)、產(chǎn)生節(jié)拍脈沖以及其他時(shí)序信號(hào)。計(jì)數(shù)器的種類(lèi)不勝枚舉,按觸發(fā)器動(dòng)作分類(lèi),可分為同步計(jì)數(shù)器和異步計(jì)數(shù)器;按計(jì)數(shù)數(shù)值增減分類(lèi),可分為加計(jì)時(shí)器、減計(jì)數(shù)器和可逆計(jì)數(shù)器;按編碼分類(lèi),又可分為二進(jìn)制碼計(jì)數(shù)器、BCD碼計(jì)數(shù)器、循環(huán)碼計(jì)數(shù)器。本設(shè)計(jì)使用的是計(jì)數(shù)器74LS160。它是一種典型的高性能、低功耗COMS4位同步二進(jìn)制加計(jì)數(shù)器,它可在1.2~3.6V電源電壓范圍內(nèi)工作,其所有邏輯輸入端都可耐受高達(dá)5.5V的電壓,因此,在電源電壓為3.3V時(shí)可直接與5V供電的TTL邏輯電路接口。它的工作速度很高,從輸入時(shí)鐘脈沖CP上升沿到Qn輸出的典型延時(shí)時(shí)間僅3.9ns,最高時(shí)鐘工作頻率可達(dá)200MHz。下圖6所示是74LS160的內(nèi)部邏輯圖,除了同步二進(jìn)制計(jì)數(shù)功能外,電路還具有并行數(shù)據(jù)的同步預(yù)置功能。芯片74LS160的外部引腳圖也如下圖5所示。圖574LS160引腳圖圖674LS160內(nèi)部結(jié)構(gòu)圖當(dāng)=0時(shí)為并行數(shù)據(jù)預(yù)置操作,每個(gè)數(shù)據(jù)選擇器左邊的與門(mén)打開(kāi),于是,D3–D0到達(dá)相應(yīng)的觸發(fā)器的輸入端,當(dāng)CP脈沖沿到達(dá)時(shí),該組數(shù)據(jù)進(jìn)入觸發(fā)器而實(shí)現(xiàn)同步預(yù)置;當(dāng)=1時(shí),右邊的與門(mén)打開(kāi),各D觸發(fā)器與相應(yīng)的同或門(mén)實(shí)現(xiàn)觸發(fā)器,接受同步計(jì)數(shù)的控制信號(hào),其工作原理與上內(nèi)部圖電路相同。下面對(duì)照邏輯圖和功能表,說(shuō)明它工作時(shí)各引線端的功能和操作。輸入輸出清零預(yù)置使能時(shí)鐘預(yù)置數(shù)據(jù)輸入Q3Q2Q1Q0進(jìn)位CEPCETCPD3D2D1D0TCLXXXXXXXXLLLLLHLXXD3*D2*D1*D0*D3D2D1D0#HHLXXXXXX保持#HHXLXXXXX保持LHHHHXXXX計(jì)數(shù)#時(shí)鐘脈沖CP是計(jì)數(shù)脈沖輸入端,也是芯片內(nèi)4個(gè)觸發(fā)器的公共時(shí)鐘輸入端。異步清零=1時(shí)各輸入信號(hào)起作用。并行置數(shù)使能置數(shù)控制端。計(jì)數(shù)和保持操作都要求=1。數(shù)據(jù)輸入端Dn計(jì)數(shù)使能CEP主要控制本芯片的計(jì)數(shù)操作。計(jì)數(shù)使能端CET該信號(hào)和CEP 做與運(yùn)算后實(shí)現(xiàn)對(duì)本芯片的控制。進(jìn)位信號(hào)TC只有當(dāng)CET=1且Q3Q2Q1Q0=1111時(shí),TC才為1,表明下一個(gè)時(shí)鐘脈沖上升沿到來(lái)將會(huì)有進(jìn)位發(fā)生。綜合上述功能可以得到74LS160的典型時(shí)序圖7,如下圖所示。當(dāng)清零信號(hào)=0時(shí),各觸發(fā)器置0.當(dāng)=1時(shí),若=0,在下一個(gè)時(shí)鐘脈沖上升沿到來(lái)后,各觸發(fā)器的輸出狀態(tài)與預(yù)置的輸入數(shù)據(jù)相同。在==1的條件下,若CET=CEP=1,則電路處于計(jì)數(shù)狀態(tài)。圖中從預(yù)置的1100開(kāi)始計(jì)數(shù),直到CET·CEP=0,計(jì)數(shù)狀態(tài)結(jié)束。此后處于禁止計(jì)數(shù)的保持狀態(tài):Q3Q2Q1Q0=0010。圖774LS160的典型時(shí)序圖譯碼器芯片74LS138譯碼器可分為兩種類(lèi)型,一種是將一系列代碼轉(zhuǎn)換成與之一一對(duì)應(yīng)的有效信號(hào)。這種譯碼器可稱(chēng)為唯一地址譯碼器,它常用于計(jì)算機(jī)中對(duì)存儲(chǔ)器單元地址譯碼,即將每一個(gè)地址代碼轉(zhuǎn)換成另一個(gè)有效信號(hào),從而選中相應(yīng)的單元。另一種是將一種代碼轉(zhuǎn)換成另一種代碼,所以也稱(chēng)為代碼轉(zhuǎn)換器。本設(shè)計(jì)使用的74LS138屬于二進(jìn)制唯一地址譯碼器。芯片74LS138的外部引腳圖和內(nèi)部原理如下圖8所示。圖8該譯碼器有3位二進(jìn)制輸入A2、A1、A0,它們共有8種狀態(tài)組合,即可譯出8個(gè)輸出信號(hào)—,輸出為低電平有效。由功能表如下圖,有功能表可得功能表:輸入輸出E3A2A1A0XHXXXXHHHHHHHHXXHXXXHHHHHHHHLXXXXXHHHHHHHHHLLLLLLHHHHHHHHLLLLHHLHHHHHHHLLLHLHHLHHHHHHLLLHHHHHLHHHHHLLHLLHHHHLHHHHLLHLHHHHHHLHHHLLHHLHHHHHHLHHLLLHHHHHHHHHHL利用3線-8線譯碼器可以構(gòu)成4線-16線、5線–32線或6線–64線譯碼器。芯片74LS00、74LS10、74LS2074LS00二輸入端四與非門(mén)邏輯表達(dá)式74LS10三輸入端三與非門(mén)邏輯表達(dá)式74LS20四輸入端二與非門(mén)邏輯表達(dá)式引腳排列圖9如下:圖9芯片引腳圖脈沖信號(hào)是一種離散信號(hào),形狀多種多樣,與普通模擬信號(hào)(如正弦波)相比,波形之間在時(shí)間軸不連續(xù)(波形與波形之間有明顯的間隔)但具有一定的周期性是它的特點(diǎn)。最常見(jiàn)的脈沖波是矩形波(也就是方波)。脈沖信號(hào)可以用來(lái)表示信息,也可以用來(lái)作為載波,還可以作為各種數(shù)字電路、高性能芯片的時(shí)鐘信號(hào)?,F(xiàn)實(shí)生活中產(chǎn)生脈沖信號(hào)有多種方式,比如:脈沖信號(hào)發(fā)生器,單穩(wěn)態(tài)觸發(fā)器,多諧振蕩器,555定時(shí)器等。由于555定時(shí)器內(nèi)部的比較器靈敏度高、驅(qū)動(dòng)電流大、功能靈活,而且采用差分方式,用555定時(shí)器組成多諧振蕩器的振蕩頻率受電源電壓和溫度變化影響很小。因此,本設(shè)計(jì)使用的是555定時(shí)器組成多諧振蕩器。工作原理用555定時(shí)器組成多諧振蕩器如下圖10所示。接通電源后,電容C被充電,當(dāng)Vc上升到時(shí),使V0為低電平,同時(shí)放電三極管T導(dǎo)通,此時(shí)電容C通過(guò)R2和 T放電,Vc下降。當(dāng)Vc下降到時(shí),V0翻轉(zhuǎn)為高電平。電容器C放電所需時(shí)間為:=R2CIn2當(dāng)放電結(jié)束時(shí),T截止,Vcc將通過(guò)R1、R2向電容C充電,Vc由上升到所需時(shí)間為:(R1+R2)C當(dāng)Vc上升到時(shí),電路又翻轉(zhuǎn)為低電平。如此周而復(fù)始,于是,在電路的輸出端就得到一個(gè)周期性的矩形波。電路工作波形如圖所示。其振蕩頻率為;f=1/(+)1.43/(R1+2R2)C圖10555定時(shí)器組成的多諧振蕩電路及波形如果要實(shí)現(xiàn)占空比可調(diào),最常用的的如圖11.由于電路中二極管D1,D2單向?qū)щ娞匦裕闺娙萜鞯某浞烹娀芈贩珠_(kāi),調(diào)節(jié)電位器,就可調(diào)節(jié)多諧振蕩器的占空比。圖中,Vcc通過(guò)Ra、D1向C充電,充電時(shí)間為:電容器C通過(guò)D2、Rb及555中的三極管T放電,放電時(shí)間為:電路輸出的波形占空比為:q(%)=Ra*100%/(Ra+Rb)圖11占空比可調(diào)的方波發(fā)生器4.2七進(jìn)制計(jì)數(shù)器圖12本設(shè)計(jì)電路中的七進(jìn)制計(jì)數(shù)器芯片74LS160的CP端接入由555定時(shí)器組成多諧振蕩器的輸出端,由此來(lái)接收脈沖信號(hào)。計(jì)數(shù)器從Q3Q2Q1Q0=0000開(kāi)始計(jì)數(shù),當(dāng)?shù)?個(gè)CP到達(dá)后,計(jì)到0110,此時(shí)==0。并不能立即清零,而是要等第7個(gè)脈沖上沿到來(lái)后,計(jì)數(shù)器被置成0000,狀態(tài)圖(圖13)如下,0010100111001010000110從而才能實(shí)現(xiàn)彩燈七種變化,根據(jù)彩燈輸出順序000到0010100111001010000110圖13七進(jìn)制狀態(tài)圖4.3譯碼及邏輯電路圖14圖14為本設(shè)計(jì)譯碼及邏輯電路部分。譯碼器74LS138的輸入端A、B、C接上單元的74LS160的輸出端,對(duì)上單元的輸出000~110數(shù)據(jù)進(jìn)行譯碼,再由輸出端—通過(guò)簡(jiǎn)單邏輯電路三輸入與非門(mén)和四輸入與非門(mén)來(lái)控制彩燈的明暗。根據(jù)電路圖14,可以得到:ABC000111100012314576因此可根據(jù)以上得出,當(dāng)給譯碼器連續(xù)輸入二進(jìn)制代碼時(shí),對(duì)應(yīng)的紅亮暗情況ABC紅綠黃000LLL001HLL010HHL011LHL100LHH101LLH110HHH由此可得:紅黃綠各燈的邏輯表達(dá)式為:5.仿真調(diào)試及結(jié)果脈沖信號(hào)源的仿真使滑動(dòng)變阻器的阻值為0,給方波發(fā)生電路接通電源,并連接到示波器上。測(cè)得平均的頻率f≈25Hz。改變滑動(dòng)變阻器的阻值時(shí),可觀察得,電路的頻率發(fā)生變化,但是波形形狀始終不變,占空比為50%。圖15.脈沖信號(hào)發(fā)生器波形圖圖15.循環(huán)計(jì)數(shù)器仿真波形檢查電路圖無(wú)誤后,可接通電源以模擬循環(huán)過(guò)程。由于電源接通瞬間,電容C1,C2,相當(dāng)與短路,使D觸發(fā)器直接置零端由效,74LS160的置數(shù)端PL有效,74LS160置數(shù)“0000”計(jì)數(shù)器遞增計(jì)數(shù),。只要紅黃綠等全亮,從而使計(jì)數(shù)器置零,發(fā)光二極管燈亮順序?yàn)槿珳?、紅、紅綠、綠、黃綠、黃、全亮的規(guī)律變化。改變電位器的接入電阻,觀察燈變化的速度。接入電阻增大時(shí),燈變化的速度應(yīng)加快。但由于本設(shè)計(jì)所給電位器不夠大,調(diào)節(jié)電位器現(xiàn)象不夠明顯,可以考慮在電位器兩端各串聯(lián)49kΩ的電阻,這樣調(diào)節(jié)電位器,燈變化的速度才明顯觀察出來(lái)。在電路組裝過(guò)程中,遇到的最大問(wèn)題是,當(dāng)時(shí)設(shè)計(jì)時(shí)考慮不周全,芯片分布不夠合理,出現(xiàn)了許多“特長(zhǎng)線”。不但影響布線速度,而且也會(huì)給后來(lái)的調(diào)試帶來(lái)不必要的麻煩。當(dāng)時(shí)已經(jīng)布線不少,不可能重新開(kāi)始,再三權(quán)衡,最后只移動(dòng)了一個(gè)芯片,問(wèn)題就得到了很大改善。其次就是布線,因?yàn)橐蟛粶?zhǔn)交叉,且橫平豎直,所以在保證連通的情況下,在布線上也下了不少工夫。調(diào)試過(guò)程中,第一輪用萬(wàn)用表歐姆檔測(cè)試,就遇了實(shí)驗(yàn)板上有插孔不通的情況,導(dǎo)致芯片不能正常工作。相對(duì)于別的辦法,我選擇了導(dǎo)線顯式連通,因?yàn)槠涓魑讓?shí)現(xiàn)。對(duì)于高阻導(dǎo)線則只能換掉。第二輪接電后,用萬(wàn)用表的電壓檔測(cè)試單元電路的狀態(tài)。如:時(shí)鐘信號(hào)電路的信號(hào)是否正常產(chǎn)生,控制信號(hào)電路中的計(jì)數(shù)器能否正常計(jì)數(shù),最后在整體上測(cè)試一遍。在整個(gè)調(diào)試完成后,卻遇到的新問(wèn)題:彩燈演示時(shí)有時(shí)正常有時(shí)混亂。在排除其它可能的情況下,我仔細(xì)檢查各端子的連接情況,發(fā)現(xiàn)清“0”端在清“0”后懸空了。將其插到電源正極后,發(fā)現(xiàn)問(wèn)題解決了??偨Y(jié)語(yǔ)課程設(shè)計(jì)剛開(kāi)始,拿著選定的題目不知如何入手。畢竟課程設(shè)計(jì)不同于實(shí)驗(yàn)課,電路圖都要自己設(shè)計(jì)。靜下心來(lái),仔細(xì)分析題目,再加上指導(dǎo)老師的說(shuō)明與提示,心

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論