2024年大學(xué)試題(計(jì)算機(jī)科學(xué))-微機(jī)原理與接口技術(shù)考試近5年真題集錦(頻考類試題)帶答案_第1頁
2024年大學(xué)試題(計(jì)算機(jī)科學(xué))-微機(jī)原理與接口技術(shù)考試近5年真題集錦(頻考類試題)帶答案_第2頁
2024年大學(xué)試題(計(jì)算機(jī)科學(xué))-微機(jī)原理與接口技術(shù)考試近5年真題集錦(頻考類試題)帶答案_第3頁
2024年大學(xué)試題(計(jì)算機(jī)科學(xué))-微機(jī)原理與接口技術(shù)考試近5年真題集錦(頻考類試題)帶答案_第4頁
2024年大學(xué)試題(計(jì)算機(jī)科學(xué))-微機(jī)原理與接口技術(shù)考試近5年真題集錦(頻考類試題)帶答案_第5頁
已閱讀5頁,還剩28頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

(圖片大小可自由調(diào)整)2024年大學(xué)試題(計(jì)算機(jī)科學(xué))-微機(jī)原理與接口技術(shù)考試近5年真題集錦(頻考類試題)帶答案第I卷一.參考題庫(共100題)1.正在服務(wù)的中斷源一定是優(yōu)先級(jí)別最高的。2.設(shè)有一個(gè)具有20位地址和32位字長的存儲(chǔ)器,問:該存儲(chǔ)器能存儲(chǔ)多少個(gè)字節(jié)的信息?3.匯編語句有哪兩種?每個(gè)語句由哪4個(gè)部分組成?4.具有易失性的半導(dǎo)體存儲(chǔ)器是()。A、NVRAMB、DRAMC、PROMD、EEPROM5.RS-232C數(shù)據(jù)“1”及控制線的斷開狀態(tài)規(guī)定為()。A、-3V~-5VB、-3V~-10VC、-3V~-12VD、-3V~-15V6.Pentium微處理器在實(shí)施分頁存儲(chǔ)管理時(shí),其最小頁面的大小是()A、256BB、4KBC、1MBD、4MB7.根據(jù)中斷請(qǐng)求來自外部或來自內(nèi)部,中斷分為()、()。8.為什么要進(jìn)行Cache和主存的“映像”?有哪幾種相聯(lián)映像的方法?比較各自的利弊。?9.8253—5作于方式3時(shí),若計(jì)數(shù)初值為奇數(shù)時(shí),當(dāng)輸出由高電平變?yōu)榈碗娖胶?,CR內(nèi)容()后,再裝入CE中,然后對(duì)CLK()計(jì)數(shù),直到CE為零時(shí)立即使OUT輸出為高電平。10.堆棧的操作遵循()的數(shù)據(jù)存儲(chǔ)原則,針對(duì)堆棧的兩種操作為()和POP。11.8253—5每個(gè)計(jì)數(shù)內(nèi)部都有()。A、8位控制寄存器、16位計(jì)數(shù)初值寄存器CR、計(jì)數(shù)執(zhí)行部件CE、輸出鎖存器OLB、8位狀態(tài)寄存器,8位控制寄存器,8位計(jì)數(shù)初值寄存器CR、計(jì)數(shù)執(zhí)行部件CE、輸出鎖存器OLC、16位計(jì)數(shù)初值寄存器CR、計(jì)數(shù)執(zhí)行部件CE、輸出鎖存器OLD、16位計(jì)數(shù)初值寄存器CR、計(jì)數(shù)執(zhí)行部件CE、控制寄存器12.可編程ROM可簡記為()。A、PROMB、MROMC、EPROMD、EEPROM13.寫出宏指令SUMMING,實(shí)現(xiàn)將字節(jié)緩沖區(qū)array中的內(nèi)容求校驗(yàn)和(保留低8位),并保存在VALUE中。14.在PC/XT機(jī)上的DRAM刷新,每()時(shí)間完成一行刷新。A、0.2μsB、15μsC、1μsD、1/18.2μs15.什么是偽指令?常用的偽指令功能如何?16.新一代IA-32處理器將指令譯碼為微操作有什么特別的作用?17.當(dāng)8253—5引腳CSAlAs為()選擇0號(hào)計(jì)數(shù)器,BIOS使用地址為()。18.試用8051串行口擴(kuò)充I/O口,控制16個(gè)發(fā)光二極管,畫出電路并編寫顯示程序。19.8253—5工作于方式2時(shí),一旦計(jì)數(shù)值減為0時(shí),輸出變?yōu)榈碗娖?,?jīng)過一個(gè)CLK周期輸出又變高電平后,重新裝入計(jì)數(shù)值再重復(fù)計(jì)數(shù)過程。20.串行通信系統(tǒng)中的數(shù)據(jù)通信設(shè)備DCE是一個(gè)使傳輸信號(hào)符合()的信號(hào)匹配器。21.微型計(jì)算機(jī)的接口一般應(yīng)具備那些功能?22.可編程定時(shí)/計(jì)數(shù)器8253內(nèi)含()個(gè)計(jì)數(shù)器,每個(gè)計(jì)數(shù)器的三個(gè)引腳為()、()、()。23.自BUFFER開始的緩沖區(qū)有6個(gè)字節(jié)型的無符號(hào)數(shù):10,0,20,15,38,236,試編制8086匯編語言程序,要求找出它們的最大值、最小值及平均值,分別送到MAX、MIN和AVI三個(gè)字節(jié)型的內(nèi)存單元。要求按完整的匯編語言格式編寫源程序。24.PCI總線周期中地址期和數(shù)據(jù)期如何劃分??25.什么是數(shù)據(jù)采集系統(tǒng)的前向通道和后向通道?26.通過紫外線照射即可擦除全部存儲(chǔ)信息的芯片有()。A、Intel?2716B、Intel?2164AC、Intel?6116D、Intel?281727.RS-232C是應(yīng)用于()行二進(jìn)制交換的數(shù)據(jù)通信設(shè)備和數(shù)據(jù)終端設(shè)備之間的()。28.處理器的傳送指令MOV屬于匯編語言的執(zhí)行性語句。29.假設(shè)BX寄存器上的內(nèi)容為0100H,下列指令執(zhí)行后AX寄存器的內(nèi)容分別是什么? MOVAX,1200H;(AX)=() MOVAX,BX;(AX)=() ADDAX,BX;(AX)=()30.單片機(jī)是在一個(gè)集成電路芯片中集成了()。A、微處理器和I/O接口B、微處理器和RAMC、微處理器和ROMD、微處理器、I/O接口、RAM31.CPU與外界連接的部件或電路叫(),它是CPU與外設(shè)交換信息的中轉(zhuǎn)站。32.STRING是一個(gè)16個(gè)字符組成的字符串,RULE是一個(gè)字整數(shù)。編寫程序,測試STRING中的每一個(gè)字符,如果該字符為數(shù)字字符,把RULE中對(duì)應(yīng)位置1,否則置0。33.編程:錄入并調(diào)試一個(gè)排序子程序,其功能為用冒泡法將內(nèi)部RAM中從50H開始的十個(gè)單字節(jié)無符號(hào)正整數(shù),按從小到大的次序重新排列。34.簡述8259A工作于自動(dòng)循環(huán)方式方法。35.總線信號(hào)分成哪三組信號(hào)?36.8253-5工作時(shí)有什么基本規(guī)則?37.若825lA引腳CTS(),則8251A處于接收狀態(tài),而不需要()數(shù)據(jù)。38.8253的內(nèi)部寄存器及各位的意義是什么?39.微型計(jì)算機(jī)由哪幾部分構(gòu)成?40.那些總線具有熱插拔功能?對(duì)哪一類總線這個(gè)功能是必需具備的??41.8253—5控制寄存器的D5D4位為()時(shí)表示對(duì)計(jì)數(shù)器進(jìn)行()操作,以便微處器讀出。42.編寫計(jì)算100個(gè)16位正整數(shù)之和的程序。如果和不超過16位字的范圍(65535),則保存其和到WORDSUM,如超過則顯示‘Overflow!’。43.8253—5工作于方式1時(shí),如果在輸出期間又有新的計(jì)數(shù)值寫入計(jì)數(shù)器,則當(dāng)前輸出(),但是又有門控觸發(fā)信號(hào)到來時(shí),則輸出按照()減1計(jì)數(shù)。44.當(dāng)8255A引腳CS()電平時(shí),若RD、WR為()時(shí),表示數(shù)據(jù)總線為三態(tài)(高阻)。45.什么樣的外設(shè)可以采用無條件數(shù)據(jù)傳送方式?46.微處理器與外設(shè)傳送數(shù)據(jù)過程中,只由硬件完成而不需要軟件支持的傳送方式是()。A、DMAB、無條件C、查詢D、中斷47.通常一個(gè)外設(shè)的狀態(tài)信息在狀態(tài)端口內(nèi)占有()位。A、1B、2C、8D、1648.在中斷服務(wù)程序的入口處,為什么常常要使用開中斷指令?49.計(jì)算機(jī)的發(fā)展以()為標(biāo)志。A、電子器件的更新B、時(shí)間的劃分C、CPU的發(fā)展D、集成電路的發(fā)展50.0型中斷指()中斷,中斷類型碼為()。51.原碼數(shù)CFH=()D,-100的補(bǔ)碼=()H。52.說明下列指令對(duì)的區(qū)別: 53.在異步通信控制規(guī)程有時(shí)也稱為()方式或()方式。54.ADC0809的啟動(dòng)轉(zhuǎn)換的信號(hào)是()A、ALEB、EOCC、CLOCKD、START55.說明微機(jī)系統(tǒng)中系統(tǒng)總線和局部總線的概念。PC系列微機(jī)中常用的系統(tǒng)總線和局部總線有哪幾種。56.堆棧有哪些功能?堆棧指示器(SP)的作用是什么?57.簡述微型計(jì)算機(jī)系統(tǒng)組成。58.指令“JMP?DI”和“JMP??WORD?PTR?[DI]”作用有什么不同?請(qǐng)說明。?59.UART是用硬件實(shí)現(xiàn)()通信的()電路。60.多段存儲(chǔ)管理方式中,每一個(gè)程序都擁有它自己的(),以及多種屬于它自己的存儲(chǔ)器段。A、段描述符B、段選擇符C、段選擇符和段描述符D、段描述符寄存器61.8251是一種可編程()行通信接口芯片。62.設(shè)A=55H,R1=0FH,則執(zhí)行ANLA,R1指令后的結(jié)果是A=()H。63.在單片機(jī)應(yīng)用系統(tǒng)設(shè)計(jì)中,有哪些常見的可靠性設(shè)計(jì)內(nèi)容?64.當(dāng)8255A引腳RESET高電平有效時(shí),3個(gè)端口自動(dòng)設(shè)置為輸出端口。65.單片8259A能夠管理多少級(jí)可屏蔽中斷?若用3片級(jí)聯(lián)能管理多少級(jí)可屏蔽中斷?(不要求)66.什么叫“APIC”中斷?它有什么用途??67.假定(DX)=1100100110111001B,CL=3,CF=1,試確定下列各條指令單獨(dú)執(zhí)行后DX的值。68.8253—5作于方式5時(shí),在計(jì)數(shù)過程中寫入新的計(jì)數(shù)初始值,對(duì)計(jì)數(shù)過程(),當(dāng)()出現(xiàn)后,才將新的CR裝入CE,開始一個(gè)新的計(jì)數(shù)過程。69.8253—5工作于方式2時(shí),當(dāng)寫入控制字CW后,一輸出信號(hào)OUT變?yōu)榈透咂健?0.下面是關(guān)于PCI總線的敘述,其中錯(cuò)誤的是()A、PCI支持即插即用功能B、PCI的地址線與數(shù)據(jù)線是復(fù)用的C、PCI總線是一個(gè)16位寬的總線D、PCI是一種獨(dú)立于處理器的總線標(biāo)準(zhǔn),可以支持多種處理器71.D/A轉(zhuǎn)換器能轉(zhuǎn)換的二進(jìn)制位數(shù),稱為D/A轉(zhuǎn)換器的()A、線性度B、轉(zhuǎn)換速度C、分辨率72.中斷結(jié)束的含義是(),8259中自動(dòng)中斷結(jié)束方式縮寫是()。73.825lA是()及()同步/異步接收發(fā)送器。74.為什么判斷無符號(hào)數(shù)大小和有符號(hào)大小的條件轉(zhuǎn)移指令不同?75.虛擬存儲(chǔ)器是什么存儲(chǔ)器?76.I/O端口編址方式有()方式和()方式兩種。77.DMA傳送方式無需CPU干預(yù),直接進(jìn)行數(shù)據(jù)傳送。78.查詢傳送方式下,微處理器與外設(shè)并行工作。79.有哪幾種確定中斷優(yōu)先級(jí)的方法?說明每一種方法各自的優(yōu)劣之處。?80.8253-45初始化,一旦寫入計(jì)數(shù)值后,計(jì)數(shù)執(zhí)行部件才開始進(jìn)行計(jì)數(shù)。81.DMA控制器8237如何實(shí)現(xiàn)優(yōu)先級(jí)控制,并進(jìn)行數(shù)據(jù)傳送的?82.在8088處理器系統(tǒng)中,假設(shè)地址總線A19~A15輸出01011時(shí)譯碼電路產(chǎn)生一個(gè)有效的片選信號(hào)。這個(gè)片選信號(hào)將占有主存從()到()的物理地址范圍,共有()容量。83.如果總線的頻率為88MHz,總線的位寬為()位,則總線的帶寬應(yīng)為()。84.在一個(gè)已知長度的字符串中查找是否包含“BUG”子字符串。如果存在,顯示“Y”,否則顯示“N”。85.什么是中斷類型?它有什么用處??86.幾乎所有微處理器芯片中,都包含有仲裁機(jī)構(gòu),一般優(yōu)先級(jí)總是安排為()。A、DMA控制器較高于微處理器B、DMA控制器較低于微處理器C、DMA控制器與微處理器相同D、微處理器高于DMA控制器87.8086可以處理()種不同類型的中斷源。每一個(gè)中斷源都有一個(gè)唯一的()碼,CPU用其識(shí)別不同的中斷源。88.可編程并行接口芯片8255A面向I/O設(shè)備一側(cè)的端口有幾個(gè)?其中C口的使用有哪些特點(diǎn)?89.微型計(jì)算機(jī)總線就是外部總線。90.為實(shí)現(xiàn)微型機(jī)系統(tǒng)中數(shù)據(jù)總線的雙向傳送,必須采用()來控制數(shù)據(jù)的流向。A、寄存器;B、鎖存器;C、三態(tài)門;D、傳輸器91.微機(jī)硬件系統(tǒng)一般是由五部分組成,包括()、()、()、()。其中前兩部分又合稱為()。92.說明NORFLASH與NANDFLASH的主要區(qū)別,使用時(shí)應(yīng)如何選用?93.Cache的寫入策略用于解決什么問題?94.825lA引腳RxDRY和TxRDY可用作中斷請(qǐng)求信號(hào),可以通過讀狀態(tài)字了解接收和發(fā)送狀態(tài)。95.8253—5作于方式2時(shí),當(dāng)計(jì)數(shù)減l,直到計(jì)數(shù)值為()時(shí),輸出端OUT輸出一個(gè)寬度為()的負(fù)脈沖。96.如何對(duì)8259A進(jìn)行初始化編程(包括單片使用和雙片使用)?97.什么是8086中的邏輯地址和物理地址?邏輯地址如何轉(zhuǎn)換成物理地址?請(qǐng)將如下邏輯地址用物理地址表達(dá)(均為十六進(jìn)制形式): 98.多片8259A級(jí)聯(lián)時(shí),主片必須采用的嵌套方式是()。?99.微機(jī)大容量主存一般采用DRAM芯片組成。100.編寫程序,鍵入一個(gè)以$為結(jié)束符的數(shù)字串,統(tǒng)計(jì)其中“0”~“9”各個(gè)數(shù)字出現(xiàn)的次數(shù),分別存放到S0~S9這10單元中去。第I卷參考答案一.參考題庫1.參考答案:正確2.參考答案:該存儲(chǔ)器能存儲(chǔ)4MB的信息。3.參考答案:匯編語句有兩種:執(zhí)行性語句(處理器指令)、說明性語句(偽指令)。 每個(gè)語句有:標(biāo)號(hào)、指令助記符、操作數(shù)或參數(shù)、注釋4個(gè)部分組成。4.參考答案:B5.參考答案:D6.參考答案:B7.參考答案:硬件中斷;軟件中斷8.參考答案: C.ache和主存的“映像”是主存頁調(diào)入Cache的“規(guī)則”,為了提高CPU在Cache中查找信息的速度,這種“規(guī)則”是必須的。 常見相聯(lián)映像的方法有三種: 全相聯(lián)映像法:內(nèi)存頁可以調(diào)入Cache的任何一頁,規(guī)則簡單,頁沖突的可能性最小,查找所需工作量最大。 直接映像法:每一個(gè)內(nèi)存頁只能調(diào)入Cache中相同頁號(hào)的一個(gè)頁,規(guī)則簡單,查找方便,塊沖突的可能性大。 組相聯(lián)映像法:每一個(gè)內(nèi)存頁可以與Cache中一個(gè)組內(nèi)的若干個(gè)頁面相對(duì)應(yīng),規(guī)則稍復(fù)雜,查找工作量中等,塊沖突的可能性中等。9.參考答案:減1;減210.參考答案:先進(jìn)后出;PUSH11.參考答案:C12.參考答案:A13.參考答案:14.參考答案:B15.參考答案:偽指令是匯編程序能夠識(shí)別并對(duì)匯編過程進(jìn)行某種控制的匯編命令。常用的偽指令有: (1)起始地址設(shè)定偽指令ORG,該指令的功能是向匯編程序說明下面緊接的程序段或數(shù)據(jù)段存放的起始地址; (2)匯編結(jié)束偽指令END,該指令的功能是匯編程序遇到END偽指令后立即結(jié)束匯編; (3)字節(jié)數(shù)據(jù)定義偽指令DB,該指令的功能是從標(biāo)號(hào)指定的地址單元開始,在程序存儲(chǔ)器中定義字節(jié)數(shù)據(jù);(4)字?jǐn)?shù)據(jù)定義偽指令DW,該指令的功能是從標(biāo)號(hào)指定的地址單元開始,在程序存儲(chǔ)器中定義字?jǐn)?shù)據(jù); (5)空間定義偽指令DS,該指令的功能是從標(biāo)號(hào)指定的地址單元開始,在程序存儲(chǔ)器中保留由表達(dá)式所指定的個(gè)數(shù)存儲(chǔ)單元作為備用空間,并都填以零值; (6)賦值偽指令EQU,該指令的功能是將表達(dá)式的值或特定的某個(gè)匯編符號(hào)定義為一個(gè)指定的符號(hào)名; (7)位地址符號(hào)定義偽指令BIT,該指令的功能是將位地址賦給指定的符號(hào)名。16.參考答案:IA-32處理器將指令譯碼為微操作可以將復(fù)雜指令轉(zhuǎn)換為簡單指令,便于硬件實(shí)現(xiàn)。17.參考答案:000;40H18.參考答案: 將8051的串行口擴(kuò)充為I/O口,最常用的方式是外接移位寄存器74164,原理圖如下: 對(duì)應(yīng)的程序可寫成:?? MOV?R0,DBUF;?顯示緩沖區(qū)指針? MOV?SCON,0;??初始化,設(shè)置串口工作方式? SETB?P0.1? CLR??P0.1;??移位寄存器清零? MOV?A,@R0;?讀數(shù)據(jù)? MOVX?ABUF,A;顯示? JBC??TI,$;??等待傳輸完畢? CLR?TI;???清除發(fā)送中斷標(biāo)志? INC??R0;??移動(dòng)顯示區(qū)指針? MOV?A,R0;??讀下一位? MOVX?SBUF,A? JBC??TI,$? CLR?TI? END19.參考答案:錯(cuò)誤20.參考答案:DTE與通信線路要求21.參考答案:微機(jī)的接口一般有如下的幾個(gè)功能:1、執(zhí)行CPU命令的功能:CPU將對(duì)外設(shè)的控制命令發(fā)到接口電路中的命令寄存器(命令口)中,在經(jīng)分析去控制外設(shè)2、返回外設(shè)狀態(tài)的功能:通過狀態(tài)寄存器(狀態(tài)口)完成,包括正常工作狀態(tài)和故障狀態(tài)3、數(shù)據(jù)緩沖的功能:接口電路中的數(shù)據(jù)寄存器(數(shù)據(jù)口)對(duì)CPU于外設(shè)間傳送的數(shù)據(jù)進(jìn)行中轉(zhuǎn)4、設(shè)備尋址的功能:CPU某個(gè)時(shí)刻只能和一臺(tái)外設(shè)交換數(shù)據(jù),CPU發(fā)出的地址信號(hào)經(jīng)過接口電路中的地址譯碼電路來選中I/O設(shè)備5、信號(hào)轉(zhuǎn)換的功能:當(dāng)CPU與外設(shè)的信號(hào)功能定義、邏輯關(guān)系、電平高低及工作時(shí)序不兼容時(shí)接口電路要完成信號(hào)的轉(zhuǎn)換功能6、數(shù)據(jù)寬度與數(shù)據(jù)格式轉(zhuǎn)換的功能:由于CPU處理的數(shù)據(jù)都是并行的,當(dāng)外設(shè)采用串行傳送方式時(shí),接口電路就要完成串、并之間的轉(zhuǎn)換,并進(jìn)行數(shù)據(jù)格式的轉(zhuǎn)換22.參考答案:3;CLK;GATE;OUT23.參考答案: 24.參考答案: 在FRAME#有效的第1個(gè)時(shí)鐘,傳送的是32位地址,稱為地址期。 在IRDY#和TRDY#同時(shí)有效時(shí),傳送的是32位數(shù)據(jù),稱為數(shù)據(jù)期。25.參考答案: 數(shù)據(jù)采集系統(tǒng)的前向通道,是指由模擬信號(hào)轉(zhuǎn)換成數(shù)字信號(hào)并送到計(jì)算機(jī)中去的這部分電路,根據(jù)設(shè)計(jì)的技術(shù)指標(biāo),將多路開關(guān)、模擬放大、采樣/保持和A/D轉(zhuǎn)換器選擇好,再加上控制和定時(shí)電路組成在一起,就構(gòu)成了前向通道。 在控制系統(tǒng)中所使用的數(shù)據(jù)采集系統(tǒng)一般均、帶有后向通道,將計(jì)算機(jī)產(chǎn)生的控制量轉(zhuǎn)換成模擬信號(hào),用于驅(qū)動(dòng)外部執(zhí)行機(jī)構(gòu),在一定程度上,可以將后向通道看作是前向通道的逆過程。26.參考答案:A27.參考答案:串;標(biāo)準(zhǔn)接口28.參考答案:正確29.參考答案:1200H;0100H;0200H30.參考答案:D31.參考答案:微機(jī)接口32.參考答案: 33.參考答案:程序如下: 用冒泡法進(jìn)行數(shù)據(jù)排序 34.參考答案: 8259A工作于自動(dòng)循環(huán)方式的方法: (1)在中斷服務(wù)程序的末尾發(fā)一條普通EOI循環(huán)命令。 (2)在主程序或在中斷服務(wù)程序中發(fā)置位/復(fù)位、自動(dòng)EOI循環(huán)命令。35.參考答案:總線信號(hào)分成三組,分別是數(shù)據(jù)總線、地址總線和控制總線。36.參考答案: 8253-5有6種工作方式,不論哪種工作方式。都要遵守以下幾條基本原則: (1)控制字寫入計(jì)數(shù)器時(shí),所有的控制邏輯電路立即復(fù)位。輸出端OUT進(jìn)入初始狀態(tài)。 (2)初始值寫入后,要經(jīng)過一個(gè)時(shí)鐘的上升沿和一個(gè)下降沿。計(jì)數(shù)執(zhí)行部件才開始進(jìn)行計(jì)數(shù)。 (3)通常,在時(shí)鐘脈沖CLK的上升沿時(shí),門控信號(hào)GATE被采樣。對(duì)于某一種給定的工作方式中,門控信號(hào)的觸發(fā)方式有具體規(guī)定的,即用電平觸發(fā),或者用邊沿觸發(fā),有的觸發(fā)方式采用電平觸發(fā),又可以采用邊沿觸發(fā)方式。 (4)時(shí)鐘脈沖下降時(shí)作減1計(jì)數(shù)。37.參考答案:懸空;發(fā)送38.參考答案:8253的內(nèi)部寄存器有四個(gè),8位的控制寄存器:初始化時(shí),將控制字寫入該寄存器;16位的計(jì)數(shù)器初值寄存器,初始化是寫入該計(jì)數(shù)器的初始值,其最大初始值為0000H;16位的減一計(jì)數(shù)器,計(jì)數(shù)器的初值由計(jì)數(shù)初值寄存器送入減法計(jì)數(shù)器,當(dāng)計(jì)數(shù)輸入端輸入一個(gè)計(jì)數(shù)脈沖時(shí),減法計(jì)數(shù)器內(nèi)容減一;16位的輸出鎖存器用來鎖存計(jì)數(shù)脈沖時(shí),減法計(jì)數(shù)器內(nèi)容減一。39.參考答案:微型計(jì)算機(jī)由微處理器、存儲(chǔ)器和I/O接口電路構(gòu)成。各部分通過地址總線(AB)、數(shù)據(jù)總線(DB)和控制總線(CB)相連。40.參考答案: U.SB總線和PCI總線都支持熱插拔(Hot?Plug?In)。對(duì)于USB總線來說,熱插拔功能是必需的。 需要說明的是,目前一般PC機(jī)內(nèi)的PCI總線設(shè)備不支持“熱插拔”功能。實(shí)現(xiàn)該功能需要相應(yīng)的軟、硬件的支持?!盁岵灏巍钡腜CI總線設(shè)備主要應(yīng)用于某些通訊設(shè)備中。41.參考答案:00;領(lǐng)存42.參考答案:43.參考答案:不受影響;新的計(jì)數(shù)值44.參考答案:低;1145.參考答案:如發(fā)光二極管、按鍵和開關(guān)等簡單設(shè)備,它們的工作方式十分簡單;相對(duì)處理器而言,其狀態(tài)很少發(fā)生變化或變化很慢。這些設(shè)備與處理器交換數(shù)據(jù)時(shí),可采用無條件傳送。46.參考答案:A47.參考答案:A48.參考答案:中斷服務(wù)程序分為兩種,一種是在進(jìn)入服務(wù)子程序后不允許被中斷,另一種則可以被中斷。在入口處使用開中斷指令表示該中斷服務(wù)程序是允許被中斷的服務(wù)程序,即在進(jìn)入服務(wù)子程序后允許CPU響應(yīng)比它級(jí)別高的中斷請(qǐng)求。49.參考答案:D50.參考答案:除法出錯(cuò);00H51.參考答案:207;1001110052.參考答案:53.參考答案:起止同步控制;無規(guī)程控制54.參考答案:D55.參考答案: 局部總線:在系統(tǒng)總線和CPU總線之間的一級(jí)總線,提供外設(shè)到CPU之間的快速信息通道。局部總線有:PCI、VESA總線。 系統(tǒng)總線:也稱為I/O總線,是傳統(tǒng)的通過總線擴(kuò)展卡連接外部設(shè)備的總線。由于速度慢,其功能已經(jīng)被局部總線替代。系統(tǒng)總線包含有三種不同功能的總線,即數(shù)據(jù)總線DB(Data?Bus)、地址總線AB(Address?Bus)和控制總線CB(Control?Bus)。常見系統(tǒng)總線有:ISA總線、PC-104總線、EISA。56.參考答案:堆棧在中端過程中用來保護(hù)現(xiàn)場數(shù)據(jù),復(fù)位后SP=7H,而堆棧一般設(shè)置在通用ROM區(qū)(30H-7FH),在系統(tǒng)初始化時(shí)候要從新設(shè)置。57.參考答案: 微型計(jì)算機(jī)系統(tǒng)是以微型計(jì)算攺為主體,再配備外圍設(shè)備(外存儲(chǔ)器、輸入輸出設(shè)備)及軟件系統(tǒng)即可構(gòu)成。其中軟件系統(tǒng)是由系統(tǒng)軟件和應(yīng)用軟件組成。系統(tǒng)軟件包括操作系統(tǒng)、數(shù)據(jù)庫管理系統(tǒng)。各種高級(jí)語言的編譯程序、匯編程序、調(diào)試程序、編輯程序等。應(yīng)用軟件是由各學(xué)科、各領(lǐng)域諸種應(yīng)用程序組成。58.參考答案:上述兩條指令都是段內(nèi)近轉(zhuǎn)移指令,但是偏移地址的來源不同。指令“JMP?DI”執(zhí)行時(shí),新的偏移地址在DI寄存器內(nèi),“JMP??WORD?PTR?[DI]”時(shí),目的偏移地址在存儲(chǔ)單元中,該存儲(chǔ)單元的地址在DS:?DI中。59.參考答案:串行;接口60.參考答案:A61.參考答案:串62.參考答案:0563.參考答案:可靠性通常是指在規(guī)定的條件下,在規(guī)定的時(shí)間內(nèi)完成規(guī)定功能的能力??刹捎靡韵碌姆椒ㄌ岣呦到y(tǒng)的可靠性。 (1)隔離技術(shù)。 (2)屏蔽措施。 (3)雙絞線傳輸。 (4)長線傳輸?shù)淖杩蛊ヅ洹?(5)對(duì)信號(hào)整形。 (6)抑制機(jī)械觸點(diǎn),接觸器、可控硅的噪聲。 (7)提高印刷電路板(PCB)設(shè)計(jì)中的抗干擾能力。 (8)合理設(shè)計(jì)地線。 (9)注意各電路之間的電平匹配,總線驅(qū)動(dòng)能力;單片機(jī)的空閑端要接地或接電源,或者定義成輸出;室外使用的單片機(jī)系統(tǒng)或從室外架空引入室內(nèi)的電源線、信號(hào)線,要防止雷擊等。 64.參考答案:錯(cuò)誤65.參考答案:因?yàn)?259A有8位可屏蔽中斷請(qǐng)求輸入端,故單片8259A能夠管理8級(jí)可屏蔽中斷。若用3片級(jí)聯(lián),即1片用作主控芯片,兩片作為從屬芯片,每一片從屬芯片可管理8級(jí),則3片級(jí)聯(lián)共可管理22級(jí)可屏蔽中斷。66.參考答案:APIC是“高級(jí)可編程中斷控制子系統(tǒng)”的縮寫,用于解決多處理器環(huán)境下處理器之間的聯(lián)絡(luò)、任務(wù)分配和中斷處理。67.參考答案: 68.參考答案:不受影響;GATE上升沿69.參考答案:錯(cuò)誤70.參考答案:C71.參考答案:C72.參考答案:中斷服務(wù)程序完成;IRET73.參考答案:通用;可編程74.參考答案:無符號(hào)數(shù)和有符號(hào)數(shù)的操作影響兩組不同的標(biāo)志狀態(tài)位,故判斷兩個(gè)無符號(hào)數(shù)和有符號(hào)數(shù)的大小關(guān)系要利用不同的標(biāo)志位組合,所以有對(duì)應(yīng)的兩組指令。75.參考答案:虛擬存儲(chǔ)器是由操作系統(tǒng)利用輔助存儲(chǔ)器、以磁盤文件形式建立的、在主存儲(chǔ)器與輔助存儲(chǔ)器之間的一個(gè)存儲(chǔ)器。76.參考答案:獨(dú)立編址;存儲(chǔ)器映像77.參考答案:正確78.參考答案:錯(cuò)誤79.參考答案: 確定中斷優(yōu)先權(quán)有四種可選的方法。 (1)軟件查詢法:采用程序查詢的方法確定中斷服務(wù)的順序。這種方法中斷邏輯最簡單(基本上不需要外部中斷邏輯),優(yōu)先級(jí)可以靈活設(shè)置,但中斷響應(yīng)所需時(shí)間最長。 (2)分類申請(qǐng)法:CPU分設(shè)二個(gè)中斷申請(qǐng)信號(hào)的輸入引腳。這種方法需要CPU提供條件。 (3)鏈?zhǔn)絻?yōu)先權(quán)排隊(duì):菊花鏈法。這種方法需要的外部中斷邏輯比較簡單,容易實(shí)現(xiàn),但是設(shè)備較多時(shí)信號(hào)延遲大,對(duì)設(shè)備故障敏感。 (4)可編程中斷控制器:?“向量”優(yōu)先權(quán)排隊(duì)專用電路。這種方法功能最全面,控制靈活,可以通過程序設(shè)定中斷優(yōu)先權(quán)為固定的或循環(huán)的,但需要增加專用的中斷控制器。80.參考答案:錯(cuò)誤81.參考答案:在可編程DMA控制器芯片8237中,優(yōu)先編碼部分對(duì)同時(shí)提出DMA請(qǐng)求的多個(gè)通道進(jìn)行優(yōu)先級(jí)排隊(duì)判優(yōu),當(dāng)可編程DMA控制器芯片8237收到一個(gè)從外設(shè)發(fā)來的DREQ請(qǐng)求DMA傳送時(shí),該DMAC經(jīng)過判優(yōu)和屏蔽處理后,向總線控制器送出總線請(qǐng)求HRQ信號(hào)要求使用總線。 DMAC接管總線控制權(quán)后,由被動(dòng)狀態(tài)進(jìn)入主動(dòng)狀態(tài),成為系統(tǒng)主控者,并向I/O設(shè)備發(fā)出DMA應(yīng)答信號(hào)DACK,向存儲(chǔ)器發(fā)出地址信號(hào)和讀/寫信號(hào),開始DMA傳送,成為系統(tǒng)的主宰者。82.參考答案:58000H;5FFFFH;32KB83.參考答案:8;88Mb/s84.參考答案:85.參考答案:用若干位二進(jìn)制表示的中斷源的編號(hào),稱為中斷類型。中斷類型用來區(qū)分不同的中斷,使CPU能夠在中斷響應(yīng)時(shí)調(diào)出對(duì)應(yīng)的中斷服務(wù)程序進(jìn)行中斷處理。86.參考答案:A87.參考答案:256;類型88.參考答案:1、8255A面向I/O設(shè)備一側(cè)有3個(gè)8位的端口:A口、B口和C口,或者說有2個(gè)8位的端口(A口、B口

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論