2024年通信電子計算機技能考試-半導體芯片制造工考試近5年真題附答案_第1頁
2024年通信電子計算機技能考試-半導體芯片制造工考試近5年真題附答案_第2頁
2024年通信電子計算機技能考試-半導體芯片制造工考試近5年真題附答案_第3頁
2024年通信電子計算機技能考試-半導體芯片制造工考試近5年真題附答案_第4頁
2024年通信電子計算機技能考試-半導體芯片制造工考試近5年真題附答案_第5頁
已閱讀5頁,還剩25頁未讀 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權,請進行舉報或認領

文檔簡介

(圖片大小可自由調(diào)整)2024年通信電子計算機技能考試-半導體芯片制造工考試近5年真題薈萃附答案第I卷一.參考題庫(共100題)1.按構成集成電路基礎的晶體管分類可以將集成電路分為哪些類型?每種類型各有什么特征?2.在半導體工藝中,硫酸常用于去除()和配制()等。3.干法腐蝕清潔、干凈、無脫膠現(xiàn)象、圖形精度和分辨率高。()4.例舉硅片制造廠房中的7種玷污源。5.集成電路制造中有哪幾種常見的擴散工藝?各有什么優(yōu)缺點?6.什么是阻擋層金屬?阻擋層材料的基本特征是什么?哪種金屬常被用作阻擋層金屬?7.什么是多層金屬化?它對芯片加工來說為什么是必需的?8.簡述雜質在SiO2的存在形式及如何調(diào)節(jié)SiO2的物理性質。9.解釋光刻膠顯影。光刻膠顯影的目的是什么?10.雙極晶體管的1c7r噪聲與()有關。A、基區(qū)寬度B、外延層厚度C、表面界面狀態(tài)11.什么是無源元件?例舉出兩個無源元件的例子。什么是有源元件?例舉出兩個有源元件的例子。12.描述凈化間的舞廳式布局。13.在半導體制造工藝中往往把減薄、劃片、分片、裝片、內(nèi)引線鍵合和管殼封裝等一系列工藝稱為()。14.門陣列的基本結構形式有兩種:一種是晶體管陣列,一種是門陣列()15.大容量可編程邏輯器件分為()和()。16.簡述光刻工藝原理及在芯片制造中的重要性?17.外殼設計包括()設計、熱性能設計和結構設計三部分,而可靠性設計也包含在這三部分中間。A、電性能B、電阻C、電感18.對于大尺寸的MOS管版圖設計,適合采用什么樣的版圖結構?簡述原因。19.描述曝光波長和圖像分辨率之間的關系。20.例舉高k介質和低k介質在集成電路工藝中的作用。21.以P2O2為例說明SiO2的掩蔽過程。 22.描述CVD反應中的8個步驟。23.離子注入層的深度主要取決于離子注入的()。A、能量B、劑量24.平行縫焊的工藝參數(shù)有焊接電流、焊接速度、焊輪壓力和焊輪椎頂角。焊輪壓力影響蓋板和焊環(huán)之間高阻點的()。壓力太大,電阻值下降,對形成焊點不利,焊輪壓力太小,則造成接觸不良,不但形不成良好點。A、電流值B、電阻值C、電壓值25.Ⅰ號液是()過氧化氫清洗液.A、堿性B、酸性C、中性26.遷移率是反映半導體中載流子導電能力的重要參數(shù)。摻雜半導體的電導率一方面取決于摻雜的濃度,另一方面取決于遷移率的大小。同樣的摻雜濃度,載流子的遷移率越大,材料的電導率就越高。()27.分別畫出單大馬士革和雙大馬士革工藝流程圖。28.離子注入后為什么要進行退火?29.說明SiO2的結構和性質,并簡述結晶型SiO2和無定形SiO2的區(qū)別。30.例舉出7種先進封裝技術。31.硅片減薄腐蝕液為氫氟酸和硝酸系腐蝕液。砷化鎵片用()系、氫氧化氨系蝕腐蝕液。32.例舉出硅片廠中使用的五種通用氣體。33.什么是濺射產(chǎn)額,其影響因素有哪些?簡述這些因素對濺射產(chǎn)額產(chǎn)生的影響。34.金屬剝離工藝是以具有一定圖形的光致抗蝕劑膜為掩膜,帶膠蒸發(fā)或濺射所需的金屬,然后在去除光致抗蝕劑膜的同時,把膠膜上的金屬一起去除干凈。()35.為什么晶體管柵結構的形成是非常關鍵的工藝?更小的柵長會引發(fā)什么問題?36.例舉并解釋5個進行在線參數(shù)測試的理由。37.微波混合集成電路是指工作頻率從300MHz~100kMHz的混合集成電路,可分為分布參數(shù)微波混合集成電路和()微波混合集成電路兩類。38.在一個晶圓上分布著許多塊集成電路,在封裝時將各塊集成電路切開時的切口叫()。39.例舉并討論引入銅金屬化的五大優(yōu)點。40.為什么說潔凈技術是半導體芯片制造過程中的一項重要技術?41.半導體材料有兩種載流子參加導電,具有兩種導電類型。一種是(),另一種是()。42.解釋光刻膠選擇比。要求的比例是高還是低?43.物理氣相淀積最基本的兩種方法是什么?簡述這兩種方法制備薄膜的過程。44.低溫淀積二氧化硅生長溫度低、制作方便,但膜不夠致密,耐潮性和抗離子沾污能力較差。()45.MEMSSi加工工藝主要分為哪兩類,它們最基本的區(qū)別是什么?46.例舉出芯片廠中6個不同的生產(chǎn)區(qū)域并對每一個生產(chǎn)區(qū)域做簡單描述。47.值稱為共發(fā)射極電流放大系數(shù),是晶體管的一個重要參數(shù),也是檢驗晶體管經(jīng)過硼、砷摻雜后的兩個pn結質量優(yōu)劣的重要標志。()48.對標準單元設計EDA系統(tǒng)而言,標準單元庫應包含以下內(nèi)容:()、和()、()、()。49.從離子源引出的是:()A、原子束B、分子束C、中子束D、離子束50.單相3線插座接線有嚴格規(guī)定()A、“左零”“右火”B、“左火”“右零”51.例舉并描述6種不同的塑料封裝形式。52.常用膠粘劑有熱固性樹脂、熱塑性樹脂和橡膠型膠粘劑3大類。半導體器件的粘封工藝一般選用()。A、熱塑性樹脂B、熱固性或橡膠型膠粘劑53.下列材料屬于N型半導體是()。A、硅中摻有元素雜質磷(P)、砷(As)B、硅中摻有元素雜質硼B(yǎng).、鋁(Al)C、砷化鎵摻有元素雜質硅(Si)、碲(TE)D、砷化鎵中摻元素雜質鋅、鎘、鎂54.熱生長SiO2–Si系統(tǒng)中的電荷有哪些?55.解釋投射電子能顯微鏡。56.什么是離子注入中常發(fā)生的溝道效應(Channeling)和臨界角?怎樣避免溝道效應?57.例舉離子注入設備的5個主要子系統(tǒng)。58.半導體芯片制造工藝對水質的要求一般.()59.例舉離子注入工藝和擴散工藝相比的優(yōu)點和缺點。60.腐蝕二氧化硅的水溶液一般是用()A、鹽酸B、硫酸C、硝酸D、氫氟酸61.寫出半導體產(chǎn)業(yè)發(fā)展方向?什么是摩爾定律?62.給出投影掩模板的定義。投影掩模板和光掩模板的區(qū)別是什么?63.光學光刻中影響圖像質量的兩個重要參數(shù)是什么?64.設備、試劑、氣瓶等所有物品不需經(jīng)嚴格清潔處理,可直接進入凈化區(qū)。()65.恒定表面源擴散的雜質分布在數(shù)學上稱為什么分布?()A、高斯函數(shù)B、余誤差函數(shù)C、指數(shù)函數(shù)D、線性函數(shù)66.簡述硼和磷的退火特性。 67.半導體分立器件、集成電路對外殼的主要要求之一是:良好的熱性能。外殼應有小的(),使芯片的熱量有效地散逸出去,保證器件在正常結溫下工作。A、熱阻B、阻抗C、結構參數(shù)68.化學清洗中是利用硝酸的強()和強()將吸附在硅片表面的雜質除去。69.硅外延生長工藝包括()。A、襯底制備B、原位HCl腐蝕C、生長溫度,生長壓力,生長速度D、尾氣的處理70.延生長方法比較多,其中主要的有()外延、()外延、金屬有機化學氣相外延、()外延、原子束外延、固相外延等。71.在低溫玻璃密封工藝中,常用的運載劑由2%(質量比)的硝化纖維素溶解于98%(質量比)的醋酸異戊酯或松油醇中制得,再將20%的運載劑與()的玻璃料均勻混合,配成印刷漿料。A、80%~90%B、10%~20%C、40%-50%72.什么是光刻中常見的表面反射和駐波效應?如何解決?73.單晶片切割的質量要求有哪些?74.半導體中的離子注入摻雜是把摻雜劑()加速到的需要的(),直接注入到半導體晶片中,并經(jīng)適當溫度的()。75.鋁絲與鋁金屬化層之間用加熱、加壓的方法不能獲得牢固的焊接,甚至根本無法實現(xiàn)焊接的原因是鋁的表面在空氣中極易生成一層(),它們阻擋了鋁原子之間的緊密接觸,達不到原子之間引力范圍的間距。76.采用無定形掩膜的情況下進行注入,若掩蔽膜/襯底界面的雜質濃度減少至峰值濃度的1/10000,掩蔽膜的厚度應為多少?用注入雜質分布的射程和標準偏差寫出表達式。77.解釋空氣質量凈化級別。78.片狀源擴散具有設備簡單,操作方便,晶片缺陷少,均勻性、重復性和表面質量都較好,適于批量生產(chǎn),應用越來越普遍。()79.簡述常規(guī)熱氧化辦法制備SiO2介質薄膜的動力學過程,并說明在什么情況下氧化過程由反應控制或擴散控制。80.寫出菲克第一定律和第二定律的表達式,并解釋其含義。81.離子注入前一般需要先生長氧化層,其目的是什么?82.按蒸發(fā)源加熱方法的不同,真空蒸發(fā)工藝可分為:()蒸發(fā)、()蒸發(fā)、離子束蒸發(fā)等。A、電阻加熱B、電子束C、蒸氣原子83.雙極晶體管的高頻參數(shù)是()。A、hFEVcesB、BVceC、ftfm84.光刻和刻蝕的目的是什么?85.在半導體集成電路中,各元器件都是制作在同一晶片內(nèi)。因此要使它們起著預定的作用而不互相影響,就必須使它們在電性能上相互絕緣。()86.腐蝕V形槽一般采用()的濕法化學腐蝕方法。87.什么是兩步擴散工藝,其兩步擴散的目的分別是什么?88.集成電路封裝有哪些作用?89.CVD淀積過程中兩個主要的限制步驟是什么?它們分別在什么情況下會支配整個淀積速率?90.金絲球焊的優(yōu)點是無方向性,鍵合強度一般()同類電極系統(tǒng)的楔刀焊接。91.什么是硅化物?難熔金屬硅化物在硅片制造業(yè)中重要的原因是什么?92.離子注入雜質濃度分布中最重要的二個射程參數(shù)是()和()。93.在突緣電阻焊工藝中,要獲得良好的焊接質量,必須確定的基本規(guī)范包括()A、焊接電流、焊接電壓和電極壓力B、焊接電流、焊接時間和電極壓力C、焊接電流、焊接電壓和焊接時間94.在溫度相同的情況下,制備相同厚度的氧化層,分別用干氧,濕氧和水汽氧化,哪個需要的時間最長?()A、干氧B、濕氧C、水汽氧化D、不能確定哪個使用的時間長95.簡述外延薄膜的生長過程,其最顯著的特征是什么?96.常用濺射技術有哪幾種,簡述它們的工作原理和特點。97.金屬封裝主要采用金屬和玻璃密封工藝,金屬作封裝底盤、管帽和引線,()做絕緣和密封。A、塑料B、玻璃C、金屬98.點缺陷,如空位、間隙原子、反位缺陷、替位缺陷,和由它們構成的復合體。()99.什么是外延層?為什么硅片上要使用外延層?100.光刻中采用步進掃描技術獲得了什么好處?第I卷參考答案一.參考題庫1.參考答案:分為三種,雙極集成電路,MOS集成電路,雙極-MOS(BiMOS)集成電路。 雙極集成電路:采用的有源器件是雙極晶體管,特點:速度高,驅動能力強,但功耗大,集成能力低。 MOS集成電路:采用的有源器件是MOS晶體管,特點:輸入阻抗高,抗干擾能力強,功耗小,集成度高。 雙極-MOS(BiMOS)集成電路:同時包含雙極和MOS晶體管,特點:綜合了速度高,驅動能力強,抗干擾能力強,功耗小,集成度高的優(yōu)點,但制造工藝復雜。2.參考答案:光刻膠;洗液3.參考答案:正確4.參考答案:硅片制造廠房中的七中沾污源: (1)空氣:凈化級別標定了凈化間的空氣質量級別,它是由凈化室空氣中的顆粒尺寸和密度表征的; (2)人:人是顆粒的產(chǎn)生者,人員持續(xù)不斷的進出凈化間,是凈化間沾污的最大來源; (3)廠房:為了是半導體制造在一個超潔凈的環(huán)境中進行,有必要采用系統(tǒng)方法來控制凈化間區(qū)域的輸入和輸出; (4)水:需要大量高質量、超純?nèi)ルx子水,城市用水含有大量的沾污以致不能用于硅片生產(chǎn)。去離子水是硅片生產(chǎn)中用得最多的化學品 (5)工藝用化學品:為了保證成功的器件成品率和性能,半導體工藝所用的液態(tài)化學品必須不含沾污; (6)工藝氣體:氣體流經(jīng)提純器和氣體過濾器以去除雜質和顆粒; (7)生產(chǎn)設備:用來制造半導體硅片的生產(chǎn)設備是硅片生產(chǎn)中最大的顆粒來源。5.參考答案: 擴散工藝分類:按原始雜質源在室溫下的相態(tài)分類,可分為固態(tài)源擴散,液態(tài)源擴散和氣態(tài)源擴散。固態(tài)源擴散(1).開管擴散優(yōu)點:開管擴散的重復性和穩(wěn)定性都很好。(2).箱法擴散優(yōu)點;箱法擴散的硅表面濃度基本由擴散溫度下雜質在硅中的固溶度決定,均勻性較好。(3).涂源法擴散缺點:這種擴散方法的表面濃度很難控制,而且又不均勻。(4).雜質源也可以采用化學氣相淀積法淀積,這種方法的均勻性、重復性都很好,還可以把片子排列很密,從而提高生產(chǎn)效率,其缺點是多了一道工序。液態(tài)源擴散液態(tài)源擴散優(yōu)點:系統(tǒng)簡單,操作方便,成本低,效率高,重復性和均勻性都很好。擴散過程中應準確控制爐溫、擴散時間、氣體流量和源溫等。源瓶的密封性要好,擴散系統(tǒng)不能漏氣。氣態(tài)源擴散氣態(tài)雜質源多為雜質的氫化物或者鹵化物,這些氣體的毒性很大,且易燃易爆,操作上要十分小心??焖贇庀鄵诫s(RVD)氣體浸沒激光摻雜(GILD)6.參考答案:阻擋層金屬是淀積金屬或金屬塞,作用是阻止層上下的材料互相混合。 可接受的阻擋層金屬的基本特征是: ①好的阻擋擴散特性; ②高電導率具有很低的歐姆接觸電阻; ③與半導體和金屬接觸良好; ④抗電遷移 ⑤膜薄和高溫下穩(wěn)定性好; ⑥抗腐蝕和氧化。通常用作阻擋層的金屬是一類具有高熔點且被認為是難熔的金屬。 在硅片制造業(yè)中,用于多層金屬化的普通難熔金屬有鈦、鎢、鉭、鉬、鈷和鉑。難溶金屬已經(jīng)被用于硅片制造業(yè),如雙極工藝的肖特基勢壘二極管的形成。鈦鎢和氮化鈦也是兩種普通的阻擋層金屬材料,它們禁止硅襯底和鋁之間的擴散。7.參考答案:8.參考答案: 熱氧化層中可能存在各種雜質,某些最常見的雜質是與水有關的化合物,其結構如圖所示。如果氧化層在生長中有水存在,一種可能發(fā)生的反應是一個氧橋還原為兩個氫氧基。Si:O:Si→Si:O:H+H:O:Si網(wǎng)絡構成者——一些雜質會被有意摻入熱淀積SiO2中,用來改善它的物理性質和電學特性,例如硼、磷,稱為網(wǎng)絡構成者,它們可以調(diào)節(jié)有氧橋和無氧橋的比例,使得SiO2的強度上升或者下降。當B替代Si之后,頂角上的四個O只有三個O可以同B形成共價鍵,剩余的一個O因無法與中心的B形成共價鍵,而變成了非橋鍵O,因此SiO2網(wǎng)絡中非橋鍵O增加,強度下降。當P替代Si之后,與原有的四個O形成共價鍵,還多余一個價電子,這個多余的價電子還可以與近鄰的一個非橋鍵O形成橋鍵O,因此SiO2網(wǎng)絡強度增加。網(wǎng)絡改變者——存在于SiO2網(wǎng)絡間隙的雜質為網(wǎng)絡改變者。一般以離子形式存在,離子半徑較大,替代硅的可能性很小。例如Na、K、Pb、Ba等都是網(wǎng)絡改變者。網(wǎng)絡改變者往往以氧化物形式進入SiO2中。進入網(wǎng)絡之后便離化,并把氧離子交給SiO2網(wǎng)絡。Na2O+ΞSi-O-SiΞ→Si–O-+Osup>—9.參考答案:光刻膠顯影是指用化學顯影液溶解由曝光造成的光刻膠的可溶解區(qū)域,其主要目的是把掩膜版圖形準確復制到光刻膠中。10.參考答案:C11.參考答案:無源元件:在不需要外加電源的條件下,就可以顯示其特性的電子元件。這些元件無論如何和電源相連,都可以傳輸電流。如電阻,電容。 有源元件:內(nèi)部有電源存在,不需要能量的來源而實行它特定的功能,而且可以控制電流方向,可放大信號。如二極管,晶體管。12.參考答案:凈化間的舞廳式布局為大的制造間具有10000級的級別,層流工作臺則提供一個100級的生產(chǎn)環(huán)境。13.參考答案:組裝14.參考答案:正確15.參考答案:復雜可編程邏輯器件;現(xiàn)場可編程門陣列16.參考答案: 1.光刻是通過一系列生產(chǎn)步驟將晶圓表面薄膜的特定部分除去并得到所需圖形的工藝。 2.光刻的重要性是在二氧化硅或金屬薄膜上面刻蝕出與掩膜版完全對應的幾何圖形,從而實現(xiàn)選擇性擴散和金屬薄膜布線的目的,它是晶圓加工過程的中心,為后面的刻蝕和離子注入做準備。決定了芯片的性能,成品率,可靠性。17.參考答案:A18.參考答案: (1)S管的版圖一般采用并聯(lián)晶體管結構。 采用并聯(lián)晶體管結構后,可共用源區(qū)和漏區(qū),使得在同樣寬長比的情況下,漏區(qū)和源區(qū)的面積被減小,并因此使得器件源極和漏極的PN結電容被減小,對提高電路的動態(tài)性能很有好處。 (2)寸器件在版圖設計時還采用折疊的方式減小一維方向上的尺寸。 因為器件的尺寸大,即叉指的個數(shù)較多,如果采用簡單并列的方式,將由于叉指到信號引入點的距離不同引起信號強度的差異。同時,由于在一維方向上的工藝離散性,也將導致最左端的叉指和最右端的叉指所對應的并聯(lián)器件在參數(shù)和結構上產(chǎn)生失配。19.參考答案:減少曝光光源的波長對提高分辨率非常重要,波長的越小,圖像的分辨率就越高,圖像就越精確。20.參考答案:21.參考答案: 以P2O2雜質源為例來說明SiO2的掩蔽過程:當P2O2與SiO2接觸時,SiO2就轉變?yōu)楹椎牟Aw。A.擴散剛開始,只有靠近表面的SiO2轉變?yōu)楹椎牟Aw。B.大部分SiO2層已轉變?yōu)楹椎牟Aw。C.整個SiO2層都轉變?yōu)楹椎牟Aw。D.在SiO層完全轉變?yōu)椴Aw后,又經(jīng)過一定時間,SiO2層保護的硅中磷已經(jīng)擴進一定深度。22.參考答案:1)質量傳輸 2)薄膜先驅物反應 3)氣體分子擴散 4)先驅物吸附 5)先驅物擴散進襯底 6)表面反應 7)副產(chǎn)物解吸 8)副產(chǎn)物去除23.參考答案:A24.參考答案:B25.參考答案:A26.參考答案:正確27.參考答案: 28.參考答案:推進,激活雜質,修復損傷。29.參考答案: 結晶形SiO2——由Si-O四面體在空間規(guī)則排列構成每個頂角的O原子與兩個相鄰四面體中心的Si原子形成共價鍵。無定形SiO2——Si-O四面體的空間排列沒有規(guī)律Si-O-Si鍵橋的角度不固定,在110-180°之間,峰值144°。無定形SiO2的性質:Si-O四面體在空間的排列無規(guī)則,大部分O與相鄰的兩個Si-O四面體的Si形成共價鍵(稱為橋鍵氧),也有一部分只與一個Si-O四面體的Si形成共價鍵(稱為非橋鍵氧);無定形網(wǎng)絡疏松、不均勻、有孔洞,SiO2分子約占無定形網(wǎng)絡空間體積43%,密度2.15-2.25g/cm3結晶形SiO2密度為2.65g/cm3在無定形SiO2網(wǎng)絡中,氧的運動(1-2個Si-O鍵)比Si(4個Si-O鍵)容易;室溫下Si-O鍵以共價鍵為主,也含有離子鍵成份,隨溫度的升高,離子鍵成份比例增大。密度:一般為2.20g/cm3(無定形,一般用稱量法測量);折射率:是波長的函數(shù),5500?左右時為1.46,密度較大則折射率較大;電阻率:高溫干氧氧化法制備的SiO2電阻率高達1016Ω·cm;介電強度:單位厚度的SiO2所能承受的最小擊穿電壓,與致密程度、均勻性、雜質含量等因素有關,一般為106-107V/cm;化學性質:非常穩(wěn)定,室溫下只與氫氟酸發(fā)生反應: 30.參考答案:31.參考答案:硫酸32.參考答案:氧氣(O2)、氬氣(Ar)、氮氣(N2)、氫氣(H2)和氦氣(He)。33.參考答案: 濺射產(chǎn)額:影響因素:離子質量、離子能量、靶原子質量、靶的結晶性只有當入射離子的能量超過一定能量(濺射閾值)時,才能發(fā)生濺射,每種物質的濺射閾值與被濺射物質的升華熱有一定的比例關系。隨著入射離子能量的增加,濺射率先是增加,其后是一個平緩區(qū),當離子能量繼續(xù)增加時,濺射率反而下降,此時發(fā)生了離子注入現(xiàn)象。濺射產(chǎn)額與入射離子種類的關系:濺射產(chǎn)額S依賴于入射離子的原子量,原子量越大,則濺射率越高。濺射產(chǎn)額也與入射離子的原子序數(shù)有密切的關系,呈現(xiàn)出隨離子的原子序數(shù)周期性變化關系,凡電子殼層填滿的元素作為入射離子,則濺射率最大。因此,惰性氣體的濺射率最高,氬通常被選為工作氣體,氬被選為工作氣體的另一個原因是可以避免與靶材料起化學反應。濺射產(chǎn)額與入射角度的關系:濺射產(chǎn)額對角度的依賴性于靶材料及入射離子的能量密切相關。 金、鉑、銅等高濺射產(chǎn)額材料一般與角度幾乎無關。Ta和Mo等低濺射產(chǎn)額材料,在低離子能量情況下有明顯的角度關系,濺射產(chǎn)額在入射角度為40°左右時最大。低能量時,以不完整余弦的形式分布,最小值存在于接近垂直入射處;高能量濺射產(chǎn)額近似為:,θ為靶的法線與入射離子速度矢量的夾角。34.參考答案:正確35.參考答案:因為它包括了最薄的柵氧化層的熱生長以及多晶硅柵的刻印和刻蝕,而后者是整個集成電路工藝中物理尺度最小的結構。多晶硅柵的寬度通常是整個硅片上最關鍵的CD線寬。 隨著柵的寬度不斷減少,柵結構(源漏間的硅區(qū)域)下的溝道長度也不斷減少。晶體管中溝道長度的減少增加了源漏間電荷穿通的可能性,并引起了不希望的溝道漏電流。36.參考答案:五個進行在線參數(shù)測試的理由為: (1)鑒別工藝問題:硅片制造過程中工藝問題的早期鑒定(而不是等到已經(jīng)完成了硅片制造才發(fā)現(xiàn)有問題進行測試。 (2)通過/失效標準:依據(jù)通過/失效標準決定硅片是否繼續(xù)后面的制造程序。 (3)數(shù)據(jù)收集:為了改進工藝,收集硅片數(shù)據(jù)以評估工藝傾向(如溝道長度的改變)。 (4)特殊測試:在需要的時候評估特殊性能參數(shù)(如特殊客戶需求)。 (5)硅片級可靠性:需要確定可靠性與工藝條件的聯(lián)系時,進行隨機的硅片級可靠性測試。37.參考答案:集總參數(shù)38.參考答案:劃片槽39.參考答案:40.參考答案: 半導體芯片制造,尤其是隨著高度集成復雜電路和微波器件的發(fā)展,要求獲得細線條、高精度、大面積的圖形,各種形式的污染都將嚴重影響半導體芯片成品率和可靠性。生產(chǎn)中的污染,除了由于化學試劑不純、氣體純化不良、去離子質量不佳引入之外,環(huán)境中的塵埃、雜質及有害氣體、工作人員、設備、工具、日用雜品等引入的塵埃、毛發(fā)、皮屑、油脂、手汗、煙霧等都是重要汚染來源。例如,PN結表面污染上塵埃、皮屑、油脂等將引起反向漏電或表面溝道,手汗引起的Na離子沾污會使MOS器件閾值電壓飄移,甚至導致晶體管電流放大系數(shù)不穩(wěn)定,空氣中塵埃的沾污將引起器件性能下降,以致失效;光刻涂膠后塵埃的沾污將使二氧化硅層形成針孔或小島;大顆粒塵埃附著在光刻膠表面,會使掩膜版與芯片間距不一致,使光刻圖形模糊;高溫擴散過程中,附著在硅片上的塵埃將引起局部摻雜和快速擴散,使結特性變壞。所以潔凈技術是半導體芯片制造過程中的一項重要技術。41.參考答案:電子;空穴42.參考答案:光刻膠選擇比是指顯影液與曝光的光刻膠反應的速度快慢,選擇比越高,反應速度越快,所以要比例高。43.參考答案: 物理氣相淀積:蒸發(fā)Evaporation、濺射Sputtering熱蒸發(fā)法:在真空條件下,加熱蒸發(fā)源,使原子或分子從蒸發(fā)源表面逸出,形成蒸氣流并入射到襯底表面,凝結形成固態(tài)薄膜。濺射概念與機理:基本原理,真空腔中有一個平行板等離子體反應器,非常類似于簡單的反應離子刻蝕系統(tǒng)。 將靶材放置在具有最大離子電流的電極上,高能離子將所要淀積的材料從靶材中轟擊出來。靶與晶圓片相距十分近(小于10cm),出射原子大部分能被晶圓所收集。44.參考答案:正確45.參考答案: Si工藝體硅微機械加工工藝(Bulkmicromaching)——用晶圓自身材料來制作MEMS結構 優(yōu)勢:可用于制作大的深寬比、很厚的結構 表面微機械加工工藝(Surfacemicromachining)——與IC工藝兼容犧牲層制作阻擋層制作犧牲層釋放工藝46.參考答案:芯片廠中通常分為擴散區(qū)、光刻區(qū)、刻蝕區(qū)、離子注入?yún)^(qū)、薄膜生長區(qū)和拋光區(qū)6個生產(chǎn)區(qū)域: ①擴散區(qū)是進行高溫工藝及薄膜積淀的區(qū)域,主要設備是高溫爐和濕法清洗設備; ②光刻區(qū)是芯片制造的心臟區(qū)域,使用黃色熒光管照明,目的是將電路圖形轉移到覆蓋于硅片表面的光刻膠上; ③刻蝕工藝是在硅片上沒有光刻膠保護的地方留下永久的圖形; ④離子注入是用高壓和磁場來控制和加速帶著要摻雜的雜質的氣體;高能雜質離子穿透涂膠硅片的表面,形成目標硅片; ⑤薄膜生長主要負責生產(chǎn)各個步驟中的介質層與金屬層的淀積。 ⑥拋光,即CMP(化學機械平坦化)工藝的目的是使硅片表面平坦化。47.參考答案:正確48.參考答案:邏輯單元符號庫;功能單元庫;拓撲單元庫;版圖單元庫49.參考答案:D50.參考答案:A51.參考答案:6種不同的塑料封裝形式: (1)雙列直插封裝(DIP):典型有兩列插孔式管腳向下彎,穿過電路板上的孔。 (2)單列直插封裝(SIP):是DIP的替代品,用以減小集成電路組件本體所占據(jù)電路板的空間。 (3)薄小型封裝(TSOP):廣泛用于存儲器和智能卡具有鷗翼型表面貼裝技術的管腳沿兩邊粘貼在電路板上相應的壓點。 (4)西邊形扁平封裝(QFP):是一種在外殼四邊都有高密度分布的管腳表面貼裝組件。 (5)具有J性管腳的塑封電極芯片載體(PLCC) (6)無引線芯片載體(LCC):是一種電極被管殼周圍包起來以保持低刨面的封裝形式52.參考答案:B53.參考答案:A,C54.參考答案:界面陷阱電荷、可移動氧化物電荷。55.參考答案:TEM把加速和聚集的電子束投射到非常薄的樣品上,電子與樣品中的電子碰撞而電子與樣品中的原子的碰撞而改變方向,從而產(chǎn)生立體角散射,散射角的大小與樣品的密度、厚度有關,因此可以形成明暗不同的影像。TEM是惟一定量測量硅片上一些非常小特征尺寸的測量工具。56.參考答案: 溝道效應:對晶體靶進行離子注入,當離子速度方向平行于主晶軸時,將很少受到核碰撞,離子將沿溝道運動,注入深度很深。由于溝道效應,使注入離子濃度的分布產(chǎn)生很長的拖尾,對于輕原子注入到重原子靶時,拖尾效應尤其明顯。解決辦法:A.偏離軸注入,采用7°的傾斜角,但并不能完全消除溝道效應。B.注入前破壞晶格結構,使用Si、F或Ar離子注入完成硅的預非晶化。C.使用薄的屏蔽氧化層,使離子進入晶體前的速度方向無序化,但會將部分氧注入晶體。(1)偏軸注入:一般選取5~7傾角,入射能量越小,所需傾角越大(2)襯底非晶化預處理:進行一次高劑量Ar+注入,使硅表面非晶化(3)非晶層散射:表面生長200~250?二氧化硅(ScreenOxidE.,使入射離子進入硅晶體前方向無序化(4)注入雜質的自非晶化效應:重雜質(As),高劑量注入。57.參考答案:(1)離子源:待注入物質必須以帶電粒子束或離子束的形式存在。注入離子在離子源中產(chǎn)生(2)引出電極(吸極)和離子分析器:傳統(tǒng)注入機吸極系統(tǒng)收集離子源中產(chǎn)生的所有正離子并使它們形成粒子束,離子通過離子源上的一個窄縫得到吸收。(3)加速管:為了獲得更高的速度,出了分析器磁鐵,正離子還要再加速管中的電場下進行加速(4)掃描系統(tǒng)掃描在劑量的統(tǒng)一性和重復性方面起著關鍵租用。(5)工藝室------離子束向硅片的注入發(fā)生在工藝腔中。58.參考答案:錯誤59.參考答案:離子注入的優(yōu)點: (1)精確控制雜質含量和分布 (2)很好的雜質均勻性 (3)對雜質穿透深度有很好的控制 (4)產(chǎn)生單一離子束 (5)低溫工藝 (6)注入的離子能穿透薄膜 (7)無固溶度極限 離子注入的缺點: (1)高能雜質離子轟擊硅原子將對晶體結構產(chǎn)生損傷 (2)注入設備的復雜性60.參考答案:D61.參考答案:62.參考答案:投影掩膜版是一種透明的平板,在它上面有要轉印到硅片上光刻膠層的圖形。投影掩膜版只包括硅片上一部分圖形,而光掩膜版包含了整個硅片的芯片陣列并且通過單一曝光轉印圖形。63.參考答案:分辨率和焦深。64.參考答案:錯誤65.參考答案:B66.參考答案: 硼退火特性電激活比例:自由載流子數(shù)p和注入劑量Ns的比對于低劑量的情況,隨退火溫度上升,電激活比例增大。對于高劑量情況,可以把退火溫度分為三個區(qū)域:在區(qū)域I中,隨退火溫度上升,點缺陷的移動能力增強,因此間隙硼和硅原子與空位的復合幾率增加,使點缺陷消失,替位硼的濃度上升,電激活比例增加,自由載流子濃度增大。當退火溫度在500-600℃的范圍內(nèi),點缺陷通過重新組合或結團,降低其能量。因為硼原子非常小,和缺陷團有很強的作用,很容易遷移或被結合到缺陷團中,處于非激活位置,因而出現(xiàn)隨溫度的升高而替位硼的濃度下降的現(xiàn)象,也就是自由載流子濃度隨溫度上升而下降的現(xiàn)象(逆退火特性)。在區(qū)域Ⅲ中,硼的替位濃度以接近于5eV的激活能隨溫度上升而增加,這個激活能與升溫時Si自身空位的產(chǎn)生和移動的能量一致。產(chǎn)生的空位向間隙硼處運動,因而間隙硼就可以進入空位而處于替位位置,硼的電激活比例也隨溫度上升而增加。實際退火條件,要根據(jù)注入時靶溫、注入劑量及對材料性能的要求來選擇。注入劑量低,不發(fā)生逆退火現(xiàn)象,退火溫度不需要太高。1012/cm2,800度,幾分鐘。 室溫注入與靶溫較高時注入時,產(chǎn)生非晶區(qū)的臨界劑量不同,退火要求也不同。磷退火特性圖中虛線所表示的是損傷區(qū)還沒有變?yōu)榉蔷訒r的退火性質,實線則表示非晶層的退火性質。對于1X1015/cm2和5X1015/cm2時所形成的非晶層,退火溫度在600℃左右,低于劑量為1014左右沒有形成非晶層時的退火溫度,這是因為兩種情況的退火機理不同。非晶層的退火效應是與固相外延再生長過程相聯(lián)系的,在再生長過程中,V族原子實際上與硅原子是難以區(qū)分,被注入的V族原子P在再結晶過程中與硅原子一樣,同時被結合到晶格位置上。67.參考答案:A68.參考答案:酸性;氧化性69.參考答案:A,B,C,D70.參考答案:化學氣相;液相;分子束71.參考答案:A72.參考答案: 表面反射——穿過光刻膠的光會從晶圓片表面反射出來,從而改變投入光刻膠的光學能量。當晶圓片表面有高度差時,表面反射會導致線條的缺失,無法控制圖形。針對表面反射效應的解決辦法:①改變沉積速率以控制薄膜的反射率②避免薄膜表面高度差,表面平坦化處理(CMP)③光刻膠下涂覆抗反射的聚合物(Anti-reflectcoating,ARC. 駐波效應——在微細圖形光刻時,一般曝光光源為單色或窄帶光源,在由基片、氧化物層和抗蝕劑等組成的多層膜系情況下,由于膜系各層折射率不同,曝光時在基底表面產(chǎn)生的反射光和入射光相互干涉而形成駐波??刮g劑在曝光過程中由于其折射率和基底材料折射率不匹配,入射光將在各層膜的界面處發(fā)生多次反射,在光致抗蝕劑中形成駐波。應用抗反射涂層(ARC.可以完全消除駐波圖形。73.參考答案: 晶向偏離度總厚度誤差,平衡度,翹曲度等74.參考答案:離子;能量;退火處理75.參考答案:氧化物76.參考答案: 無定形靶內(nèi)的縱向濃度分布可用高斯函數(shù)表示: 其中,Rp為投影射程,ΔRp為投影射程的標準偏差,φ為劑量。以上為濃度與深度的函數(shù)變化關系。由于離子注入過程的統(tǒng)計特性,離子也有穿透掩蔽膜邊緣的橫向散射,因此分布應考慮為二維的,既有橫向也有縱向的標準偏差。射程估算:如果注入離子能量比Ec大很多,則離子在靶內(nèi)主要以電子阻止形式損失能量,可按下式估算射程:R≈K1E1/2如果注入離子的能量E77.參考答案:凈化級別標定了凈化間的空氣質量級別,它是由凈化室空氣中的顆粒尺寸和密度表征的。這一數(shù)字描繪了要怎樣控制顆粒以減少顆粒玷污。凈化級別起源于美國聯(lián)邦標準2009。如果凈化間級別僅用顆粒數(shù)來說明,例如1級凈化間,則只接受1個0.5um的顆粒。這意味著每立方英尺中尺寸等于或大于0.5um的顆粒最多允許一個。78.參考答案:正確79.參考答案: 迪爾-格羅夫氧化模型可以很好地預測氧化層厚度,熱氧化過程主要分為以下三個過程:(1)氧化劑從氣體內(nèi)部以擴散形式穿過滯留層運動到氣體-SiO2界面,其流密度用J1表示。(2)氧化劑以擴散方式穿過SiO2層,到達SiO2-Si界面,其流密度用J2表示。(3)氧化劑在Si表面與Si反應生成SiO2,流密度用J3表示。 當氧化劑在SiO2中的擴散系數(shù)DSiO2很小時(D80.參考答案: 費克第一定律:C雜質濃度;D擴散系數(shù)(單位為cm2/s)J材料凈流量(單位時間內(nèi)流過單位面積的原子個數(shù))解釋:如果在一個有限的基體中雜質濃度C(x,t)存在梯度分布,則雜質將會產(chǎn)生擴散運動,雜質的擴散流密度J正比于雜質濃度梯度𝛛𝐂/𝛛𝐱,比例系數(shù)D定義為雜質在基體中的擴散系數(shù)。雜質的擴散方向是使雜質濃度梯度變小。如果擴散時間足夠長,則雜質分布逐漸變得均勻。當濃度梯度變小時,擴散減緩。D依賴于擴散溫度、雜質的類型以及雜質濃度等。 81.參考答案:氧化層保護表面免污染,免注入損傷,控制注入溫度。82.參考答案:A,B83.參考答案:C84.參考答案:光刻的目的是將電路圖形轉移到覆蓋于硅片表面的光刻膠上,而刻蝕的目的是在硅片上無光刻膠保護的地方留下永久的圖形。即將圖形轉移到硅片表面。85.參考答案:正確86.參考答案:各向異性87.參考答案: 88.參考答案: (1)機械支撐和機械保護作用。 (2)傳輸信號和分配電源的作用。 (3)熱耗散的作用。 (4)環(huán)境保護的作用。89.參考答案: CVD過程包括兩個部分:一、反應劑在邊界層中的輸運二、反應劑在襯底表面的化學反應 存在兩種極限情況:①hg>>ks,Cs趨于Cg,淀積速率受表面化學反應速率控制。反應劑數(shù)量:主氣流輸運到硅片表面的﹥表面化學反應所需要的

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論