




已閱讀5頁,還剩20頁未讀, 繼續(xù)免費閱讀
版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)
文檔簡介
08電自8班 黃永州 學(xué)號:20080711108課 程 設(shè) 計 報 告課 題: 微波爐控制器設(shè)計學(xué) 院: 電氣與信息工程學(xué)院報 告 人: 黃永州 20080711108 班 級: 電自8班同組成員: 宋春麗、鄭倩倩 課設(shè)時間: 12月06日-12月17 日 目錄1 課題描述32 系統(tǒng)總體設(shè)計方案32.1系統(tǒng)功能分模塊描述3 2.1.1 輸入模塊32.1.2 控制模塊42.1.3 顯示模塊42.2系統(tǒng)的工作流程52.3 系統(tǒng)狀態(tài)圖63 系統(tǒng)詳細(xì)設(shè)計63.1 輸入模塊設(shè)計63.1.1 鍵盤模塊63.1.2 鍵盤譯碼93.2 控制模塊輸入113.3 控制模塊輸入153.3.1 LCD顯示模塊153.3.2 LED顯示模塊183.4 其它外圍模塊的設(shè)計203.5 系統(tǒng)的總體原理圖214 主控模塊的波形仿真 225 課程設(shè)計感想241 課題描述本課題是基于FPGA的微波爐控制器設(shè)計,采用VHDL語言,設(shè)計一個具備定時、加熱功能設(shè)置、信息顯示和音效、指示燈提示功能的微波爐控制器,實現(xiàn)一些功能:1.微波爐控制器的工作步驟是:復(fù)位待機(jī)設(shè)置加熱功能和定時初值啟動定時和工作開始結(jié)束烹調(diào),蜂鳴器提示、LED燈亮。2.在上電或手動按復(fù)位鍵RESET時,微波爐處于等待輸入狀態(tài),LCD顯示為00:00和WAIT。3.具有4位時間預(yù)置功能,由SET_TIME啟動時間設(shè)置,可以根據(jù)需要設(shè)置烹調(diào)時間的長短,系統(tǒng)最長的烹調(diào)時間為59分59秒。開始烹調(diào)后,LCD上能夠顯示剩余時間是多少。4.具有3檔微波加熱功能,有SET_COOK啟動加熱功能的設(shè)置,設(shè)置為COOK、BAKE、THAW,分別表示微波爐加熱為烹調(diào)、烘烤、解凍,實驗時用三個LED模擬,LED1LED3分別代表三個檔位,實驗時以三個LED燈閃爍的不同加以區(qū)別,同時在LCD上能夠顯示出當(dāng)前所選的加熱功能。5.設(shè)置完成后,閉合開關(guān)START,一方面按選擇的檔位啟動相應(yīng)的微波加熱;另一方面是計時電路以秒為單位作倒計時。當(dāng)計時時間為00:00則終止加熱,并由蜂鳴器給出聲音提示,由LED燈給出光亮提示。2 系統(tǒng)總體設(shè)計方案根據(jù)題目要求,該系統(tǒng)控制部分以FPGA為核心,實現(xiàn)時間設(shè)置、加熱功能設(shè)置、蜂鳴器提示、LCD顯示、提示燈等。2.1系統(tǒng)功能分模塊描述本系統(tǒng)主要由輸入、控制和顯示部分組成。2.1.1輸入模塊本系統(tǒng)采用鍵盤作為主要輸入部分,配合開關(guān)實現(xiàn)數(shù)據(jù)輸入功能。本系統(tǒng)所用到的4*4鍵盤上的各按鍵如下所示:MADDSADDRESETSET_TIMEMSUBSSUBSET_COOKCOOKBAKETHAW圖2.1.1_1 4*4鍵盤各按鍵對應(yīng)的功能在圖2.1.1中,各按鍵功能如下:RESET:按下此鍵,系統(tǒng)進(jìn)入初始狀態(tài),LCD上顯示00:00和WAIT,所有的LED燈不亮,蜂鳴器停止蜂鳴。SET_TIME:設(shè)置系統(tǒng)工作時間。按下此鍵,進(jìn)入調(diào)整MADD、MSUB、SADD、SSUB狀態(tài)。其中,MADD、MSUB設(shè)置時間的分,按下MADD表示定時時間加一分,按下MSUB 表示定時時間減一分;SADD、SSUB設(shè)置時間的秒,按下SADD表示加一秒,按下SSUB 表示減一秒。比如設(shè)定時間為4分59秒,則只需要按MADD四下,按SSUB一下。SET_COOK:用于加熱功能選擇。按下該鍵,進(jìn)入選擇COOK,BAKE,THAW按鍵狀態(tài)。另外本次系統(tǒng)的輸入除了鍵盤,也用到了開關(guān),比如,本實驗用了一個開關(guān)代替了START按鍵,設(shè)置好時間和加熱功能后,合上開關(guān)START,開始進(jìn)行加熱,開關(guān)如下圖所示:圖2.1.1_2 開關(guān)2.1.2控制模塊控制部分作為整個微波爐控制器系統(tǒng)的核心,它通過輸入模塊實現(xiàn)數(shù)據(jù)信息裝載處理,并將處理結(jié)果通過顯示模塊顯示出來。其涉及到數(shù)據(jù)的裝載、狀態(tài)轉(zhuǎn)換控制、烹飪計時、火力控制,音效提示等。2.1.3顯示模塊顯示部分采用162 LCD來顯示剩余烹飪時間和當(dāng)前加熱功能(即火力),如下圖所示: 59:59COOK 圖2.1.3 液晶顯示示例 本實驗還采用三個LED燈來顯示火力。如選擇烹調(diào),即COOK,是最強(qiáng)的火力,此時第一個LED以最大的占空比閃爍;如選擇解凍,即THAW,是最弱的火力,此時第三個LED以最小的占空比閃爍。另外,完成加熱功能,則蜂鳴器會響,同時伴隨一個LED指示燈亮。2.2 系統(tǒng)的工作流程綜上所述,主程序的流程圖如下所示:開始系統(tǒng)復(fù)位數(shù)據(jù)裝載選擇加熱功能設(shè)定時間數(shù)據(jù)裝載完成START鍵閉合否N運行烹飪倒計時時間到否結(jié)束YYN圖2.2 總體工作流程圖2.3系統(tǒng)狀態(tài)圖本題所涉及的微波爐控制系統(tǒng)是一個按照時間順序動作的順序控制器。對于這類電路,能很方便地應(yīng)用VHDL中的有限狀態(tài)機(jī)進(jìn)行描述。圖2.3所示為微波爐控制器的狀態(tài)圖。S1S2S5S3S4S0SETCOOK=1SETTIME=1SETTIME=1SETCOOK=1START=1START=1圖2.3 微波爐工作狀態(tài)圖圖中各狀態(tài)的含義如下:S0:初始狀態(tài)S1:復(fù)位后的狀態(tài)S2:設(shè)置定時時間S3:設(shè)置加熱功能S4:定時一秒S5:定時時間減一秒3 系統(tǒng)詳細(xì)設(shè)計3.1 輸入模塊設(shè)計3.1.1 鍵盤輸入輸入模塊主要采用4*4矩陣鍵盤作為輸入設(shè)備,實現(xiàn)數(shù)據(jù)輸入,首先將鍵盤轉(zhuǎn)換為按鍵,相應(yīng)程序為:-File name PADTOKEY- 目的:將鍵盤的按鍵轉(zhuǎn)為16個按鍵信號-配合庫FPGA Peripherals.Intlib中的 KEYPADA 模塊使用-CPIN 時鐘脈沖輸入 按10MHz設(shè)計 ;復(fù)位RLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;entity PADTOKEY is port ( CPIN,R,VALIDKEY :IN STD_LOGIC; KEY :IN STD_LOGIC_VECTOR(3 DOWNTO 0); KEYOUT: OUT STD_LOGIC_VECTOR(15 DOWNTO 0); CLK_1MHZ,RST: OUT STD_LOGIC );end entity ;architecture JGT of PADTOKEY is SIGNAL CTCP: INTEGER RANGE 0 TO 5; SIGNAL CPO :STD_LOGIC; SIGNAL CTCPO :INTEGER RANGE 0 TO 4999; TYPE STATES IS (S0,S1,S2); SIGNAL S: STATES;beginPROCESS(CPIN)BEGINIF CPIN=1 AND CPIN EVENT THEN IF CTCP=5 THEN CPO = NOT CPO; CTCP=0; ELSE CTCP=CTCP+1; END IF;END IF;END PROCESS;PROCESS(CPO,R)BEGINCLK_1MHZ=CPO;IF R=1 THEN RST=0;S=S0;CTCPO=0; KEYOUT=0000000000000000;ELSIF CPO=1 AND CPO EVENT THEN IF CTCPO /= 4999 THEN CTCPO=CTCPO+1; ELSE CTCPORST=0; IF VALIDKEY=1 THEN SKEYOUTKEYOUTKEYOUTKEYOUTKEYOUTKEYOUTKEYOUTKEYOUTKEYOUTKEYOUTKEYOUTKEYOUTKEYOUTKEYOUTKEYOUTKEYOUTNULL; END CASE; ELSE S=S0;KEYOUTRST=1;SRST=0;S NULL; END CASE; END IF;END IF;END PROCESS; end architecture JGT;生成的電路符號如下圖: 圖3.1.1_1 鍵盤轉(zhuǎn)按鍵電路符號 配合庫FPGA Peripherals.Intlib中的 KEYPADA 模塊,得到鍵盤輸入模塊的電路符號如下: 圖3.1.1_2 鍵盤輸入模塊電路符號3.1.2 鍵盤譯碼將鍵盤轉(zhuǎn)換為按鍵以后,根據(jù)需要重新定義鍵盤,即按下哪個鍵表示什么含義,參見圖2.1.1_1,相應(yīng)程序如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY ZZQ IS PORT ( KEYOUT:IN STD_LOGIC_VECTOR(15 DOWNTO 0); CPIN,R:IN STD_LOGIC; RST,SET_TIME,MADD,MSUB,SADD,SSUB,SET_COOK,COOK,BAKE,THAW:OUT STD_LOGIC );END ENTITY ;ARCHITECTURE BB OF ZZQ ISBEGINPROCESS(CPIN,R)BEGINIF R=1 THEN RST=0;SET_TIME=0;MADD=0;MSUB=0;SADD=0;SSUB=0; SET_COOK=0;COOK=0;BAKE=0;THAWMADDSADDRSTSET_TIMEMSUBSSUBSET_COOKCOOKBAKETHAWSET_TIME=0;MADD=0;MSUB=0; SADD=0;SSUB=0;SET_COOK=0; COOK=0;BAKE=0;THAWNULL; END CASE;END IF;END PROCESS;END BB;生成電路符號為:圖3.1.2 鍵盤譯碼的電路符號3.2 控制模塊設(shè)計控制部分作為整個微波爐控制器系統(tǒng)的核心,它通過輸入模塊實現(xiàn)數(shù)據(jù)信息裝載處理,并將處理結(jié)果通過顯示模塊顯示出來。其涉及到數(shù)據(jù)的裝載、狀態(tài)轉(zhuǎn)換控制、烹飪計時、火力控制,音效提示控制等,具體程序為:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY KZQ IS PORT (CPIN,R,START,SET_TIME,MADD,MSUB,SADD,SSUB,SET_COOK,COOK,BAKE,THAW:IN STD_LOGIC; DONE:OUT STD_LOGIC; -DONE=1表示工作結(jié)束 MQH,MQL:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); SQH,SQL :OUT STD_LOGIC_VECTOR(3 DOWNTO 0); MODL:OUT STD_LOGIC_VECTOR(1 DOWNTO 0) );END ENTITY ;ARCHITECTURE WBL OF KZQ ISSIGNAL CPCT :INTEGER RANGE 0 TO 249999;SIGNAL CPCT1:INTEGER RANGE 0 TO 20;SIGNAL CP :STD_LOGIC;SIGNAL MYH,MYL: STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL SYH,SYL: STD_LOGIC_VECTOR(3 DOWNTO 0);TYPE STATES IS (S0,S1,S2,S3,S4,S5);SIGNAL S : STATES;BEGINPROCESS(CPIN,R)BEGINIF R=1 THEN CPCT=249999;CP=0;ELSIF CPIN=1 AND CPIN EVENT THEN IF CPCT=0 THEN CPCT=249999;CP=NOT CP; ELSE CPCT=CPCT-1; END IF;END IF;END PROCESS;PROCESS(CP,R)BEGINIF R=1 THEN S=S0;MYH=0000;MYL=0000; SYH=0000;SYL=0000;MODL=00;CPCT1=0;DONE S IF SET_TIME=1 THEN S=S2; ELSIF SET_COOK=1 THEN S=S3; ELSE S IF MADD=1 THEN IF MYL=1001 THEN MYL=0000; IF MYH=0101 THEN MYH=0000; ELSE MYH=MYH+1; END IF; ELSE MYL=MYL+1; END IF; ELSIF MSUB=1 THEN IF MYL=0000 THEN MYL=1001; IF MYH=0000 THEN MYH=0101; ELSE MYH=MYH-1; END IF; ELSE MYL=MYL-1; END IF; ELSIF SADD=1 THEN IF SYL=1001 THEN SYL=0000; IF SYH=0101 THEN SYH=0000; ELSE SYH=SYH+1; END IF; ELSE SYL=SYL+1; END IF; ELSIF SSUB=1 THEN IF SYL=0000 THEN SYL=1001; IF SYH=0000 THEN SYH=0101; ELSE SYH=SYH-1; END IF; ELSE SYL=SYL-1; END IF; ELSIF SET_COOK=1 THEN S=S3; ELSIF START=1 THEN S=S5; ELSE S IF COOK=1 THEN MODL=01; ELSIF BAKE=1 THEN MODL=10; ELSIF THAW=1 THEN MODL=11; ELSIF SET_TIME=1 THEN S=S2; ELSIF START=1 THEN S=S5; ELSE S IF CPCT1=20 THEN S=S5;CPCT1=0; ELSE CPCT1 IF SYL=0000 AND SYH=0000 AND MYL=0000 AND MYH=0000 THEN DONE=1; ELSIF SYL=0000 AND SYH=0000 AND MYL=0000 THEN SYL=1001;SYH=0101;MYL=1001;MYH=MYH-1;S=S4; ELSIF SYL=0000 AND SYH=0000 THEN SYL=1001;SYH=0101;MYL=MYL-1;S=S4; ELSIF SYL=0000 THEN SYL=1001;SYH=SYH-1;S=S4; ELSE SYL=SYL-1;S NULL; END CASE;END IF;END PROCESS;PROCESS(MYH,MYL,SYH,SYL)BEGINMQH=MYH;MQL=MYL;SQH=SYH;SQL=SYL;END PROCESS;END WBL;生成的電路符號為: 圖3.2 主控模塊的電路符號3.3 顯示模塊的設(shè)計顯示模塊有兩個部分,一個是LCD顯示,一個是LED顯示。3.3.1 LCD顯示模塊LCD顯示程序為:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;entity WBLLCD is port (CPIN,R,BUSY :IN STD_LOGIC; MYH,MYL,SYH,SYL:IN STD_LOGIC_VECTOR(3 DOWNTO 0); MODL: IN STD_LOGIC_VECTOR(1 DOWNTO 0); CLK,RST,STROBE,OUTLINE : OUT STD_LOGIC; DATA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); ADDR : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) );end entity ;architecture JGT of WBLLCD is TYPE STATES IS (S0,S1,S2,S3,S4); SIGNAL S: STATES; SIGNAL LCDPT : INTEGER RANGE 0 TO 9; SIGNAL CPCT : INTEGER RANGE 0 TO 65535; SIGNAL CP: STD_LOGIC; SIGNAL C1,C2,C3,C4: STD_LOGIC_VECTOR(7 DOWNTO 0);beginPROCESS(CPIN,R) -分頻為500US周期BEGIN CLK=CPIN;IF R=1 THEN CPCT=65535;ELSIF CPIN=1 AND CPIN EVENT THEN IF CPCT=0 THEN CPCT=65535;CP=NOT CP; ELSE CPCTS2 - :Y: -S3- :N: NULL-S2:給出STROBE信號。轉(zhuǎn)S1-S1,S2完成初始化固定顯示的功能PROCESS(CP,R)BEGINIF R=1 THEN S=S0;LCDPT=0;RST S=S1;LCDPT=0;RST RST=0;STROBE=0; IF BUSY=0 THEN LCDPT=LCDPT+1; IF LCDPT=2 THEN S=S3; ELSE S S=S1;STROBE STROBE=0; IF BUSY=0 THEN IF LCDPT=9 THEN LCDPT=2; ELSE LCDPT=LCDPT+1; END IF; S STROBE=1;S NULL; END CASE;END IF; END PROCESS;-選擇輸出進(jìn)程(LCDPT)-LCDPT=0,NULL- =1 顯示 :3AH,5- =2,3,4,5 W 57H,9 A 4FH,10 I 49H,11 T 54H,12,即顯示W(wǎng)AIT;- C 43H,9 O 4FH,10 O 4FH,11 K 4BH,12,即顯示COOK;- B 42H,9 A 41H,10 K 4BH,11 E 45H,12,即顯示BAKE;- T 54H,9 H 48H,10 A 41H,11 W 57H,12,即顯示THAW;- =6,7 MYH,3 MYL,4- =8,9 SYH,6 SYL,7PROCESS(LCDPT)BEGINCASE LCDPT ISWHEN 0 = NULL;WHEN 1= DATA=00111010;ADDR=0101;OUTLINE DATA=C1;ADDR=1001;OUTLINE DATA=C2;ADDR=1010;OUTLINE DATA=C3;ADDR=1011;OUTLINE DATA=C4;ADDR=1100;OUTLINEDATA=0011&MYH;ADDR=0011;OUTLINEDATA=0011&MYL;ADDR=0100;OUTLINEDATA=0011&SYH;ADDR=0110;OUTLINEDATA=0011&SYL;ADDR=0111;OUTLINE NULL;END CASE;END PROCESS;PROCESS(MODL)BEGINCASE MODL ISWHEN 00 = C1=01010111;C2=01000001;C3=01001001;C4 C1=01000011;C2=01001111;C3=01001111;C4 C1=01000010;C2=01000001;C3=01001011;C4 C1=01010100;C2=01001000;C3=01000001;C4 NULL;END CASE;END PROCESS;end architecture JGT;生成電路符號為: 圖3.3.1_1 根據(jù)LCD程序生成的電路符號配合庫FPGA器件庫中的器件,得到LCD液晶顯示模塊的電路符號如下:圖3.3.1_2 LCD顯示模塊的電路符號3.3.2 LED顯示模塊本實驗采用三個LED燈來顯示火力。如選擇烹調(diào),即COOK,是最強(qiáng)的火力,此時第一個LED以最大的占空比閃爍;如選擇解凍,即THAW,是最弱的火力,此時第三個LED以最小的占空比閃爍。LED顯示程序如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY FF IS PORT (CPIN,R,DONE:IN STD_LOGIC; MODL:IN STD_LOGIC_VECTOR(1 DOWNTO 0); LED1,LED2,LED3:OUT STD_LOGIC );END ENTITY ;ARCHITECTURE WBLLED OF FF ISSIGNAL CPCT :INTEGER RANGE 0 TO 999999;SIGNAL CPCTMAX:INTEGER;BEGINPROCESS(CPIN,R,DONE)BEGINIF R=1OR DONE=1 THEN LED1=0;LED2=0;LED3=0;CPCT CPCTMAX=200000;LED2=0;LED3=0; IF CPCT=CPCTMAX THEN LED1=1; CPCT=CPCT+1; ELSIF CPCT=999999 THEN LED1=0; CPCT=0; ELSE CPCTCPCTMAX=500000;LED1=0;LED3=0; IF CPCT=CPCTMAX THEN LED2=1; CPCT=CPCT+1; ELSIF CPCT=999999 THEN LED2=0; CPCT=0; ELSE CPCT CPCTMAX=800000;LED1=0;LED2=0; IF CPCT=CPCTMAX THEN LED3=1; CPCT=CPCT+1; ELSIF CPCT=999999 THEN LED3=0; CPCT=0; ELSE CPCTNULL; END CASE; END IF; END PROCESS; END WBLLED;LED顯示模塊的電路符號如下圖所示: 圖3.3.2 LED顯示模塊的電路符號其中,第八個LED燈由主控模塊的輸出端DONE控制,當(dāng)微波爐工作完成時,DONE=1,LED燈亮,提示工作已完成。3.4 其它外圍模塊的設(shè)計當(dāng)工作完成后,即DONE=1時,不僅有LED亮燈提示,還有蜂鳴器的聲音提示,蜂鳴器的具體程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity spea isport(cp,r:in std_logic; speakers:out std_logic );end spea;architecture jgt of spea issignal cpct:integer range 0 to 5; -GAIsignal speak:std_logic;beginprocess(cp,r)beginif r=0 then cpct=0;speak=0;elsif cp=1 and cp event then if cpct=5 then -GAI cpct=0;speak=not speak; else cpct=cpct+1; end if;end if;end process;process(speak)beginspeakers=speak;end process;end jgt;因為系統(tǒng)輸入的時鐘脈沖頻率是10MHz,頻率太高,因此,用兩個256的分頻器進(jìn)行分頻,最終得到蜂鳴器模塊的電路符號如下圖所示: 圖3.4 蜂鳴器模塊的電路符號3.5 系統(tǒng)的總體原理圖綜上所述,將各部分連接起來,課的系統(tǒng)的總體的原理圖如下圖所
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 廣西壯族自治區(qū)玉林市陸川縣2025屆英語七下期末預(yù)測試題含答案
- 安全生產(chǎn)主要負(fù)責(zé)人考試題及答案
- 安全生產(chǎn)月測試題及答案
- 安全生產(chǎn)管理試題及答案
- 安全建設(shè)試題及答案
- 安全管理試題及答案計算
- 社區(qū)零售業(yè)態(tài)創(chuàng)新與數(shù)字化運營模式在2025年的市場趨勢報告
- 課件改編培訓(xùn)方案模板
- 高校產(chǎn)學(xué)研合作技術(shù)轉(zhuǎn)移中的科技成果轉(zhuǎn)化與企業(yè)戰(zhàn)略協(xié)同研究報告
- 原材料管理課件
- 物業(yè)燃?xì)獍踩嘤?xùn)課件
- 老年護(hù)理實踐指南手冊(試行)全匯編
- 醫(yī)療器械生產(chǎn)質(zhì)量管理規(guī)范培訓(xùn)試題及答案
- 換熱器設(shè)備采購合同模板合同
- 阿克蘇地區(qū)國土空間規(guī)劃(2021年-2035年)
- 臨時用地復(fù)墾措施施工方案
- 2022年7月國家開放大學(xué)??啤斗ɡ韺W(xué)》期末紙質(zhì)考試試題及答案
- 【甲子光年】2024自動駕駛行業(yè)報告-“端到端”漸行漸近
- 《城市道路照明設(shè)計標(biāo)準(zhǔn) CJJ45-2015》
- 外研版(一年級起點)小學(xué)英語三年級下冊期末測試卷(含答案及聽力音頻-材料)
- 遼寧省丹東市2023-2024學(xué)年八年級下學(xué)期7月期末歷史試題(無答案)
評論
0/150
提交評論