西北工業(yè)大學(xué)數(shù)字電子技術(shù)基礎(chǔ)實(shí)驗(yàn)報(bào)告實(shí)驗(yàn)_第1頁
西北工業(yè)大學(xué)數(shù)字電子技術(shù)基礎(chǔ)實(shí)驗(yàn)報(bào)告實(shí)驗(yàn)_第2頁
西北工業(yè)大學(xué)數(shù)字電子技術(shù)基礎(chǔ)實(shí)驗(yàn)報(bào)告實(shí)驗(yàn)_第3頁
西北工業(yè)大學(xué)數(shù)字電子技術(shù)基礎(chǔ)實(shí)驗(yàn)報(bào)告實(shí)驗(yàn)_第4頁
西北工業(yè)大學(xué)數(shù)字電子技術(shù)基礎(chǔ)實(shí)驗(yàn)報(bào)告實(shí)驗(yàn)_第5頁
已閱讀5頁,還剩5頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、數(shù)字電子技術(shù)基礎(chǔ)第一次實(shí)驗(yàn)報(bào)告一、 描述Modelsim軟件使用詳細(xì)步驟1、 打開modelsim軟件,在菜單欄點(diǎn)擊file-new-project,然后輸入創(chuàng)建的名稱,選擇文件位置。2、 在項(xiàng)目中創(chuàng)建編寫模塊和測試模塊文件,并均選擇Verilog語言。(注意工程名和測試文件的module名保持一致)3、 用文本編輯器正確編寫源文件和測試文件。4、 Compile All,編譯源文件和測試文件(如有錯(cuò)誤修改后,重新編譯)。5、 編譯無誤后在Library中選擇work庫,先選中testbench,然后在菜單中選擇simulate。6、右擊選擇add wave.7、選擇合適的時(shí)間間隔之后在快捷菜

2、單中點(diǎn)擊run進(jìn)行仿真,分析波形。二、題目代碼以及波形分析1、Figure 2.72編寫模塊源碼module shared (a, b, c, d, m, s1, s0);input a, b, c, d, m;output s1, s0;wire w1, w2;mux2to1 U1 (a, c, m, w1);mux2to1 U2 (b, d, m, w2);adder U3 (w1, w2, s1, s0);endmodulemodule mux2to1 (x1, x2, s, f);input x1, x2, s;output f;assign f = (s & x1) | (s & x

3、2);endmodulemodule adder (a, b, s1, s0);input a, b;output s1, s0;assign s1 = a & b;assign s0 = a b;endmodule測試模塊timescale 1ns/1ps module tb_shared;reg a_test;reg b_test;reg c_test;reg d_test;reg m_test;wire s1_test;wire s0_test;initialm_test=0;always #80 m_test=m_test;initialbegina_test=0;b_test=0;c

4、_test=0;d_test=0;#20 a_test=0;b_test=1;c_test=0;d_test=0;#20 a_test=1;b_test=0;c_test=0;d_test=0;#20 a_test=1;b_test=1;c_test=0;d_test=0;#20 a_test=0;b_test=0;c_test=0;d_test=0;#20 a_test=0;b_test=0;c_test=0;d_test=1;#20 a_test=0;b_test=0;c_test=1;d_test=0;#20 a_test=0;b_test=0;c_test=1;d_test=1;end

5、shared UUT_shared(.a(a_test),.b(b_test),.c(c_test),.d(d_test),.m(m_test),.s1(s1_test),.s0(s0_test);endmodule仿真后的波形截圖對(duì)波形的分析本例是由兩個(gè)二選一多路選擇器和一個(gè)兩位二進(jìn)制數(shù)加法器構(gòu)成的電路。分析上圖波形,當(dāng)m信號(hào)為0時(shí),加法器計(jì)算a和b的和;當(dāng)m信號(hào)為1時(shí),加法器計(jì)算c和d的和。所以實(shí)現(xiàn)了目標(biāo)功能。2、Figure2.40.編寫模塊源碼module multiplexer(x1,x2,s,f);input x1,x2,s;output f;assign f=(s&x1)|(s&

6、x2);endmodule測試模塊timescale 1ns/1psmodule tb_multiplexer;reg x1_test;reg x2_test;reg s_test;wire f_test;initials_test=0;always #80 s_test=s_test;initialbeginx1_test=0;x2_test=0;#20x1_test=1;x2_test=0;#20x1_test=0;x2_test=1;#20x1_test=1;x2_test=1;#20x1_test=0;x2_test=0;#20x1_test=1;x2_test=0;#20x1_tes

7、t=0;x2_test=1;#20x1_test=1;x2_test=1;endmultiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test);endmodule仿真后的波形截圖對(duì)波形的分析本例目的是令s為控制信號(hào),實(shí)現(xiàn)二選一多路選擇器。分析波形圖可以知道,s為0時(shí),f輸出x1信號(hào);s為1時(shí),f輸出x2信號(hào)。所以實(shí)現(xiàn)了目標(biāo)功能。3、Figure2.42.編寫模塊源碼module example5(x1,x2,s,f);input x1,x2,s;output f;reg f;always (x1 or x2

8、 or s)if(s=0)f=x1;elsef=x2;endmodule測試模塊timescale 1ns/1psmodule tb_example5;reg x1_test;reg x2_test;reg s_test;wire f_test;initials_test=0;always #80 s_test=s_test;initialbeginx1_test=0;x2_test=0;#20x1_test=1;x2_test=0;#20x1_test=0;x2_test=1;#20x1_test=1;x2_test=1;#20x1_test=0;x2_test=0;#20x1_test=1

9、;x2_test=0;#20x1_test=0;x2_test=1;#20x1_test=1;x2_test=1;endexample5 UUT_example5(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test);endmodule仿真后的波形截圖對(duì)波形的分析本例目的是令s為控制信號(hào),實(shí)現(xiàn)二選一多路選擇器。與2.40不同的是代碼中使用了always語句。分析波形圖可以知道,s為0時(shí),f輸出x1信號(hào);s為1時(shí),f輸出x2信號(hào)。與2.40的仿真結(jié)果保持一致,所以實(shí)現(xiàn)了目標(biāo)功能。4、Figure2.45.編寫模塊源碼module adder(a,b,s1

10、,s0);input a,b;output s1,s0;assign s1=a&b;assign s0=ab;endmodule測試模塊timescale 1ns/1psmodule tb_adder;reg a_test;reg b_test;wire s1_test;wire s0_test;initialbegina_test=0;b_test=0;#20a_test=1;b_test=0;#20a_test=0;b_test=1;#20a_test=1;b_test=1;endadder UUT_adder(.a(a_test),.b(b_test),.s1(s1_test),.s0(s0_test);endmodule仿真后的波形截圖對(duì)波形的分析本例的目的是實(shí)現(xiàn)兩個(gè)一位二進(jìn)制數(shù)a和b的和,s0表示第一位,s1表示第二位。分析仿真波形結(jié)果,當(dāng)a與b均為0時(shí),s1與s0均輸出0;當(dāng)a與b有一個(gè)是1時(shí),s1輸出0,s0輸出1;當(dāng)a與b均為1時(shí),s1輸出1,s0輸出0.所以根據(jù)波形結(jié)果分析得到,電路實(shí)現(xiàn)了目的。三、本次實(shí)驗(yàn)收獲和心得通過本次實(shí)驗(yàn),我首先學(xué)會(huì)了使用modelsim軟件對(duì)電路進(jìn)行仿真,真正進(jìn)行

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論