數(shù)字電子技術(shù)試卷和答案_第1頁
數(shù)字電子技術(shù)試卷和答案_第2頁
數(shù)字電子技術(shù)試卷和答案_第3頁
數(shù)字電子技術(shù)試卷和答案_第4頁
數(shù)字電子技術(shù)試卷和答案_第5頁
已閱讀5頁,還剩39頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、精品好資料學(xué)習(xí)推薦數(shù)字電子技術(shù)試卷(1)一 填空(16)1十進制數(shù)123的二進制數(shù)是 1111011;十六進制數(shù)是 7B。2100001100001是8421BCD碼,其十進制為861。3邏輯代數(shù)的三種基本運算是 與, 或 和 非。4三態(tài)門的工作狀態(tài)是0, 1 , 高阻。5描述觸發(fā)器邏輯功能的方法有 真值表,邏輯圖,邏輯表達式,卡諾圖,波形圖。6施密特觸發(fā)器的主要應(yīng)用是波形的整形 。7設(shè)4位D/A轉(zhuǎn)換器的滿度輸出電壓位30伏,則輸入數(shù)字量為1010時的輸出模擬電壓為。8實現(xiàn)A/D轉(zhuǎn)換的主要方法有 , , 。二 判斷題(10)1BCD碼即8421碼( 錯 )2.八位二進制數(shù)可以表示256種不同狀

2、態(tài)。 ( 對 )3TTL與非門與CMOS與非門的邏輯功能不一樣。 ( )4多個三態(tài)門的輸出端相連于一總線上,使用時須只讓一個三態(tài)門傳送信號,其他門處于高阻狀態(tài)。 (對 )5計數(shù)器可作分頻器。 ( 對 )三化簡邏輯函數(shù)(14)1用公式法化簡,化為最簡與或表達式。解;2用卡諾圖化簡,化為最簡與或表達式。四電路如圖1所示,要求寫出輸出函數(shù)表達式,并說出其邏輯功能。(15)解;, ,全加器,Y為和,為進位。五觸發(fā)器電路如圖2(a),(b)所示,寫出觸發(fā)器的次態(tài)方程; 對應(yīng)給定波形畫出Q端波形(設(shè)初態(tài)Q0)(15)解;(1),(2)、六試用觸發(fā)器和門電路設(shè)計一個同步的五進制計數(shù)器。(15)七用集成電路定

3、時器555所構(gòu)成的自激多諧振蕩器電路如圖3所示,試畫出VO,VC的工作波形,并求出振蕩頻率。(15)數(shù)字電子技術(shù)試卷(2)三 填空(16)1十進制數(shù)35.85的二進制數(shù)是;十六進制數(shù)是。2邏輯代數(shù)中邏輯變量得取值為 0、1。3組合邏輯電路的輸出狀態(tài)只與 當(dāng)前輸入有關(guān)而與電路 原狀態(tài)無關(guān)。4三態(tài)門的輸出有0、1、高阻,三種狀態(tài),當(dāng)多個三態(tài)門的輸出端連在一根總線上使用時,應(yīng)注意只能有1個三態(tài)門被選通。 。5觸發(fā)器的基本性質(zhì)有有兩個穩(wěn)態(tài),在觸發(fā)信號作用下狀態(tài)可相互轉(zhuǎn)變,有記憶功能6單穩(wěn)態(tài)觸發(fā)器的主要應(yīng)用是 延時 。7設(shè)6位D/A轉(zhuǎn)換器的滿度輸出電壓位6.3伏,則輸入數(shù)字量為110111,輸出模擬電壓

4、為。8一個8K字節(jié)的EPROM芯片,它的地址輸入端的個數(shù)是 13 。 判斷題(10)1數(shù)字電路中,化簡邏輯函數(shù)的目的是為了所設(shè)計的邏輯電路更簡單,更經(jīng)濟,而且其功能不變。 (對 ) 2二進制數(shù)1001和二進制代碼1001都表示十進制數(shù)。 ( 錯 ) 3觸發(fā)器的輸出狀態(tài)完全由輸入信號決定。 ( 錯 )4模擬量送入數(shù)字電路前,須經(jīng)A/D轉(zhuǎn)換。 ( 對 ) 5多諧振蕩器常作為脈沖信號源使用。 (對 ) 三化簡邏輯函數(shù)(14)1用公式法化簡,化為最簡與或表達式。2用卡諾圖化簡,化為最簡與或表達式。四設(shè)計一個8421碼的檢碼電路。要求當(dāng)輸入大于等于3、小于等于7時電路輸出為1,否則電路輸出為0。要求列出

5、真值表,寫出邏輯函數(shù)式,畫出邏輯圖。(15) 五觸發(fā)器電路如圖1(a),(b)所示,寫出觸發(fā)器的次態(tài)方程; 對應(yīng)給定波形畫出Q端波形(設(shè)初態(tài)Q0)。(15)六分析圖2電路實現(xiàn)何種邏輯功能,其中X是控制端,對X0和X1分別分析,設(shè)初態(tài)為 。(要求寫出電路方程,列出狀態(tài)轉(zhuǎn)換表或圖,說明其邏輯功能,并說明電路能否自啟動)(15)七試用8選1數(shù)據(jù)選擇器和74LS161芯片設(shè)計序列信號發(fā)生器。芯片引腳圖如圖3所示,序列信號為11001101(左位為先)。(15)數(shù)字電子技術(shù)試卷(3)四 填空(16)1十進制數(shù)86的二進制數(shù)是;8421BCD碼是。2在Y=AB+CD的真值表中,Y1的狀態(tài)有 個。34位二進

6、制數(shù)碼可以編成個代碼,用這些代碼表示09十進制輸?shù)氖畟€數(shù)碼,必須去掉 代碼。4描述觸發(fā)器邏輯功能的方法有 。5若Q1,J=0,K=1,則。6設(shè)ROM地址為,輸出為,則ROM的容量為 。7一個8位二進制D/A轉(zhuǎn)換器的分辨率為0.025,則輸入數(shù)字量為11010011時,輸出模擬電壓為 。8和 是衡量A/D、D/A轉(zhuǎn)換器性能優(yōu)劣的主要指標(biāo)。 五 回答問題(10)1已知XY=XZ,則Y=Z,正確嗎?為什么?2五位環(huán)形計數(shù)器的時鐘頻率為10KHz,其輸出波形的頻率是多少?三化簡邏輯函數(shù)(14)1用公式法化簡,化為最簡與或表達式。2用卡諾圖化簡,化為最簡與或表達式。四由雙4選1數(shù)據(jù)選擇器組成的電路如圖1

7、所示,寫出的表達式。列出的真值表。(15)五某室由3臺計算機工作站,請用紅、黃、綠3種指示燈設(shè)計一個監(jiān)視電路,要求:3臺計算機正常工作時,綠燈亮;只一臺出故障時黃燈亮;有兩臺出故障時,紅燈亮;若3臺計算機同時出故障時,則黃燈和紅燈都亮。試用門電路設(shè)計。要求:列出真值表,寫出邏輯函數(shù)式,畫出邏輯電路圖。(15) 六觸發(fā)器電路及輸入波形如圖2所示,要求:寫出電路方程,畫出與Y的對應(yīng)波形。(設(shè)的初態(tài)為11)(15)七試用中規(guī)模集成十六進制計數(shù)器74LS161芯片設(shè)計一個十三進制計數(shù)器,要求必須包括0000和1111狀態(tài),利用C端左進位輸出。芯片引腳圖如圖3所示。(15)數(shù)字電子技術(shù)試卷(4)六 填空

8、(16)1十進制數(shù)3.5的二進制數(shù)是;8421BCD碼是。2在的結(jié)果是 。3D觸發(fā)器的狀態(tài)方程為,如果用D觸發(fā)器來實現(xiàn)T觸發(fā)器的功能,則T、D間的關(guān)系為 。4一個64選1的數(shù)據(jù)選擇器,它的選擇控制端有 個。56位D/A轉(zhuǎn)換器滿度輸出電壓為10伏,輸入數(shù)字為001010時對應(yīng)的輸出模擬電壓為伏。6一片64K8存儲容量的只讀存儲器ROM,有條地址線,有條數(shù)據(jù)線。7 由555定時器構(gòu)成的單穩(wěn)態(tài)觸發(fā)器,輸出脈寬。8和 是衡量A/D、D/A轉(zhuǎn)換器性能優(yōu)劣的主要指標(biāo)。 七 回答問題(10)1已知XY=XZ,則Y=Z,正確嗎?為什么?2已知X+Y=XY,則X=Y,正確嗎?為什么? 三化簡邏輯函數(shù)(14)1用

9、公式法化簡,化為最簡與或表達式。2用卡諾圖化簡,化為最簡與或表達式。四分析圖1所示電路,要求列出的邏輯表達式和真值表,并說出電路的邏輯功能指出輸入變量和輸出函數(shù)的含義。(15)五觸發(fā)器電路如圖2(a),(b)所示,寫出觸發(fā)器的次態(tài)方程; 對應(yīng)給定波形畫出Q端波形(設(shè)初態(tài)Q0)(15)六試用D觸發(fā)器及少量門器件設(shè)計,狀態(tài)轉(zhuǎn)換圖為模為3的同步計數(shù)器。要求有設(shè)計過程。(15)七用集成電路定時器555所構(gòu)成的自激多諧振蕩器電路如圖3所示,試畫出VO,VC的工作波形,并求出振蕩頻率。(15) 數(shù)字電子技術(shù)試卷5一選擇題(從每小題的四個備選答案中,選出一個正確答案,并將其號碼填在括號內(nèi),每小題2分,共20

10、分)1 將十進制數(shù)(3.5)10轉(zhuǎn)換成二進制數(shù)是 ( )11.11 10.1110.01 11.102. 三變量函數(shù)的最小項表示中不含下列哪項 ( ) m2 m5 m3 m73.一片64k8存儲容量的只讀存儲器(ROM),有 ( )64條地址線和8條數(shù)據(jù)線 64條地址線和16條數(shù)據(jù)線16條地址線和8條數(shù)據(jù)線 16條地址線和16條數(shù)據(jù)線4. 在ADC工作過程中,包括保持a,采樣b,編碼c,量化d四個過程,他們先后順序應(yīng)該是 abcd bcda cbad badc5.以下各種ADC中,轉(zhuǎn)換速度最慢的是 ( ) 并聯(lián)比較型 逐次逼進型 雙積分型 以上各型速度相同6. 一個時鐘占空比為1:4,則一個周

11、期內(nèi)高低電平持續(xù)時間之比為 () 1:3 1:4 1:5 1:67. 當(dāng)三態(tài)門輸出高阻狀態(tài)時,輸出電阻為 () 無窮大 約100歐姆 無窮小 約10歐姆8.通常DAC中的輸出端運算放大器作用是 ( ) 倒相 放大 積分 求和9.16個觸發(fā)器構(gòu)成計數(shù)器,該計數(shù)器可能的最大計數(shù)模值是 ( ) 16 32 162 21610.一個64選1的數(shù)據(jù)選擇器有( )個選擇控制信號輸入端。 ( ) 6 16 32 64二判斷題(20分)1 兩個二進制數(shù)相加,并加上來自高位的進位,稱為全加,所用的電路為全加器( )2三態(tài)門輸出為高阻時,其輸出線上電壓為高電平( )3 前進位加法器比串行進位加法器速度慢( )4譯

12、碼器哪個輸出信號有效取決于譯碼器的地址輸入信號( )5五進制計數(shù)器的有效狀態(tài)為五個( )6施密特觸發(fā)器的特點是電路具有兩個穩(wěn)態(tài)且每個穩(wěn)態(tài)需要相應(yīng)的輸入條件維持。( )7當(dāng)時序邏輯電路存在無效循環(huán)時該電路不能自啟動()8RS觸發(fā)器、JK觸發(fā)器均具有狀態(tài)翻轉(zhuǎn)功能( )9D/A的含義是模數(shù)轉(zhuǎn)換( )10構(gòu)成一個7進制計數(shù)器需要3個觸發(fā)器( )三、簡答題(每小題5分,共10分)1用基本公式和定理證明下列等式:。2請寫出RS、JK觸發(fā)器的狀態(tài)轉(zhuǎn)移方程,并解釋為什么有的觸發(fā)器有約束方程。四用卡諾圖化簡以下邏輯函數(shù) (每小題5分,共10分)1 2,給定約束條件為ABCD0五一個組合電路具有3個輸入端A,B,

13、C,一個輸出端Y,其輸入和輸出波形如圖1所示,使用或非門設(shè)計電路。(15分)六8選1數(shù)據(jù)選擇器CC4512的邏輯功能如表4.1所示。試寫出圖2所示電路輸出端Y的最簡與或形式的表達式。(10分)七如圖3所示電路的計數(shù)長度N是多少?能自啟動嗎?畫出狀態(tài)轉(zhuǎn)換圖。(15分)數(shù)字電子技術(shù)試卷(06)一、 數(shù)制轉(zhuǎn)換()、()( )()、()()()、(127)()( )、()()、()原碼()反碼=( )補碼二、選擇填空題()、以下的說法中,是正確的。a) 一個邏輯函數(shù)全部最小項之和恒等于b)一個邏輯函數(shù)全部最大項之和恒等于c)一個邏輯函數(shù)全部最大項之積恒等于d)一個邏輯函數(shù)全部最大項之積恒等于)、若將一

14、個異或門(輸入端為、)當(dāng)作反相器使用,則、端應(yīng)連接。a )或有一個接 b)或有一個接c)和并聯(lián)使用d)不能實現(xiàn) )、已知、是或非門構(gòu)成的基本觸發(fā)器的輸入端,則約束條件為。a ) b)c)d) )、用級觸發(fā)器可以記憶種不同的狀態(tài)。a ) b)c) d)、由3級觸發(fā)器構(gòu)成的環(huán)形和扭環(huán)形計數(shù)器的計數(shù)模值依次為。a )和 b)和c)和 d)和三、用卡諾圖化簡法將下列邏輯函數(shù)化為最簡與或形式()(1)、()、,給定約束條件為:四、 證明下列邏輯恒等式(方法不限)()()、()五、 設(shè)計一位二進制全減器邏輯電路。(,:被減數(shù),:減數(shù),:借位輸入,:差,另有:借位輸出)()六、分析如下時序電路的邏輯功能。、

15、和是三個主從結(jié)構(gòu)的觸發(fā)器,下降沿動作,輸入端懸空時和邏輯狀態(tài)等效。()七、如圖所示,用555定時器接成的施密特觸發(fā)器電路中,試求:()()當(dāng),而且沒有外接控制電壓時,、及值。()當(dāng),外接控制電壓時,、及各為多少。電子技術(shù)試卷(07)二、 數(shù)制轉(zhuǎn)換(12)1、()()()2、()()()3、()()( )4、()()5、()原碼()反碼=( )補碼二、選擇填空題(12)1)、以下的說法中,是正確的。a) 一個邏輯函數(shù)全部最小項之和恒等于b)一個邏輯函數(shù)全部最大項之和恒等于c)一個邏輯函數(shù)全部最大項之積恒等于d)一個邏輯函數(shù)全部最大項之積恒等于2)、已知、是與非門構(gòu)成的基本觸發(fā)器的輸入端,則約束條

16、件為。a ) b)c) d)3)、若觸發(fā)器的原狀態(tài)為,欲在作用后仍保持為狀態(tài),則激勵函數(shù)的值應(yīng)是。a )=1, b),c), d),4)、同步計數(shù)器是指的計數(shù)器。a )由同類型的觸發(fā)器構(gòu)成。b)各觸發(fā)器時鐘端連在一起,統(tǒng)一由系統(tǒng)時鐘控制。c)可用前級的輸出做后級觸發(fā)器的時鐘。d)可用后級的輸出做前級觸發(fā)器的時鐘。)、同步四位二進制計數(shù)器的借位方程是,則可知的周期和正脈沖寬度為。a )個周期和個周期。b)個周期和個周期。c)個周期和個周期。d)個周期和個周期。三、用卡諾圖化簡法將下列邏輯函數(shù)化為最簡與或形式(12)(1)、(2)、Y(,)=(m,m,m,m,m),給定約束條件為:mmmmm六、

17、證明下列邏輯恒等式(方法不限)(12)(1)、(2)、五、分析下圖所示電路中當(dāng)A、單獨一個改變狀態(tài)時是否存在競爭冒險現(xiàn)象?如果存在,那么都發(fā)生在其它變量為何種取值的情況下?(16)六、分析如下時序電路的邏輯功能,寫出電路的驅(qū)動方程、狀態(tài)方程和輸出方程,畫出電路的狀態(tài)轉(zhuǎn)換圖(20)。七、如圖所示,用反相器組成的施密特觸發(fā)器電路中,若,試求電路的輸入轉(zhuǎn)換電平、以及回差電壓。(16)數(shù)字電子技術(shù)試卷(08)三、 數(shù)制轉(zhuǎn)換():1、()()()2、()()()3、()()( )4、()原碼()反碼=( )補碼5、()原碼()反碼=( )補碼二、選擇填空題()、主從觸發(fā)器是。a )在上升沿觸發(fā) b)在下

18、降沿觸發(fā)c)在穩(wěn)態(tài)觸發(fā)d)與無關(guān) )、觸發(fā)器的特性方程是。a ) b)c)d) )、用級觸發(fā)器可以記憶種不同的狀態(tài)。a ) b)c) d)、存在約束條件的觸發(fā)器是。a )基本觸發(fā)器 b)D鎖存器c)觸發(fā)器 d)觸發(fā)器)、構(gòu)成模值為的二進制計數(shù)器,需要級觸發(fā)器。a ) b)c) d)三、判斷題:判斷下列說法是否正確,正確的打“”,錯誤的打“”。()、個“”連續(xù)異或的結(jié)果是。()、已知邏輯,則。()、已知邏輯,則。()、函數(shù)連續(xù)取次對偶,不變。 ()、正“與非”門也就是負“或非”門。()四、用卡諾圖化簡法將下列邏輯函數(shù)化為最簡與或形式()()、()、,給定約束條件為:五、 證明下列邏輯恒等式(方法

19、不限)()(1)、()、()、六、試畫出用線線譯碼器和門電路產(chǎn)生如下多輸出邏輯函數(shù)的邏輯電路圖。(:輸入、;輸出)()七、分析如下時序電路的邏輯功能,寫出電路的驅(qū)動方程、狀態(tài)方程和輸出方程,畫出電路的狀態(tài)轉(zhuǎn)換圖。()八、試敘述施密特觸發(fā)器的工作特點及主要用途。()數(shù)字電子技術(shù)試卷(09)四、 數(shù)制轉(zhuǎn)換(10):、()()()、()()()、(0)()( )、()原碼()反碼=( )補碼、()原碼()反碼=( )補碼二、選擇填空題()、同步計數(shù)器是指的計數(shù)器。a )由同類型的觸發(fā)器構(gòu)成。b)各觸發(fā)器時鐘端連在一起,統(tǒng)一由系統(tǒng)時鐘控制。c)可用前級的輸出做后級觸發(fā)器的時鐘。d)可用后級的輸出做前級

20、觸發(fā)器的時鐘。)、已知是同步十進制計數(shù)器的觸發(fā)器輸出,若以做進位,則其周期和正脈沖寬度是。a )個周期和個周期。b)個周期和個周期。c)個周期和個周期。d)個周期和個周期。)、若四位同步二進制計數(shù)器當(dāng)前的狀態(tài)是,下一個輸入時鐘脈沖后,其內(nèi)容變?yōu)椤 )b)c)d)、若四位二進制加法計數(shù)器正常工作時,由狀態(tài)開始計數(shù),則經(jīng)過個輸入計數(shù)脈沖后,計數(shù)器的狀態(tài)應(yīng)是。a )b)c)d)、在下列功能表示方法中,不適合用于時序邏輯電路功能表示方法的是。a )狀態(tài)轉(zhuǎn)換圖b)特性方程c)卡諾圖d)數(shù)理方程三、用邏輯代數(shù)的基本公式和常用公式將下列邏輯函數(shù)化為最簡與或形式(10)(1)、(2)、四、用卡諾圖化簡法將下

21、列邏輯函數(shù)化為最簡與或形式(10)(1)、(2)、Y(,)=(m,m,m,m),給定約束條件為:mmmm五、 證明下列邏輯恒等式(方法不限)(10)(1)、(2)、六、試用四位并行加法器74LS283設(shè)計一個加/減運算電路。當(dāng)控制信號時它將兩個輸入的四位二進制數(shù)相加,而時它將兩個輸入的四位二進制數(shù)相減。允許附加必要的門電路。(74LS283:輸入變量(A3A2A1A0)、(B3B2B1B0)及,輸出變量(S3S2S1S0)及)(15)七、對某同步時序電路,已知狀態(tài)表如下表所示,若電路的初始狀態(tài),輸入信號波形如圖所示,試畫出、 的波形(設(shè)觸發(fā)器響應(yīng)于負跳變)(15)。 X 01110 0011

22、01 1八、在圖所示的權(quán)電阻網(wǎng)絡(luò)D/A轉(zhuǎn)換器中,若取,試求當(dāng)輸入數(shù)字量為d3d2d1d0=0101時輸出電壓的大小(15)。數(shù)字電子技術(shù)試卷(10)五、 數(shù)制轉(zhuǎn)換():、()()()、(17 )()( )、()()、()原碼()反碼=( )補碼、()原碼()反碼=( )補碼二、選擇填空題()1)、若將一個異或門(輸入端為、)當(dāng)做反相器使用,則、端應(yīng)連接。a )或有一個接 b)或有一個接c)和并聯(lián)使用d)不能實現(xiàn) 2)、由級觸發(fā)器構(gòu)成的二進制計數(shù)器,其模值。a ) b)c)d)3)、已知是同步十進制計數(shù)器的觸發(fā)器輸出,若以做進位,則其周期和正脈沖寬度是。a )個周期和個周期。b)個周期和個周期。

23、c)個周期和個周期。d)個周期和個周期。4)、在下列功能表示方法中,不適合用于時序邏輯電路功能表示方法的是。a )狀態(tài)轉(zhuǎn)換圖b)特性方程c)卡諾圖d)數(shù)理方程5)、用反饋復(fù)位法來改變位二進制加法計數(shù)器的模值,可以實現(xiàn)模值范圍的計數(shù)器。a )b)c)d)三、用邏輯代數(shù)的基本公式和常用公式將下列邏輯函數(shù)化為最簡與或形式() ()、()、四、用卡諾圖化簡法將下列邏輯函數(shù)化為最簡與或形式()()、()、Y(,)=(m,m,m,m,m,m),給定約束條件為:mmmm五、證明下列邏輯恒等式(方法不限)()(1)、()六、分析下圖所示電路中當(dāng)A、單獨一個改變狀態(tài)時是否存在競爭冒險現(xiàn)象?如果存在,那么都發(fā)生在

24、其它變量為何種取值的情況下?()七、試分析下圖所示時序電路,畫出其狀態(tài)表和狀態(tài)圖。設(shè)電路的初始狀態(tài)為,畫出在圖示波形圖作用下,和 的波形圖()。八、比較并聯(lián)比較型A/D轉(zhuǎn)換器、逐次漸近型A/D轉(zhuǎn)換器和雙積分型A/D轉(zhuǎn)換器的優(yōu)缺點,指出它們各適于哪些情況下采用()。數(shù)字電子技術(shù)試卷(11)一、 選擇(20分)1、一個四輸入端與非門,使其輸出為0的輸入變量取值組合有種。A.15 B.7 C.3 D.12、對于JK觸發(fā)器,若J=K,則可完成觸發(fā)器的邏輯功能。A.RS B.D C.T D.T3、為實現(xiàn)將JK觸發(fā)器轉(zhuǎn)換為D觸發(fā)器,應(yīng)使 。A.J=D,K= B. K=D,J=C.J=K=D D.J=K=4

25、、多諧振蕩器可產(chǎn)生。A.正弦波 B.矩形脈沖 C.三角波 D.鋸齒波5、石英晶體多諧振蕩器的突出優(yōu)點是。A.速度高 B.電路簡單 C.振蕩頻率穩(wěn)定 D.輸出波形邊沿陡峭6、把一個五進制計數(shù)器與一個四進制計數(shù)器串聯(lián)可得到進制計數(shù)器。 A.4 B.5 C.9 D.207、N個觸發(fā)器可以構(gòu)成最大計數(shù)長度(進制數(shù))為的計數(shù)器。A.N B.2N C. D. 2N8、同步時序電路和異步時序電路比較,其差異在于后者 。A.沒有觸發(fā)器 B.沒有統(tǒng)一的時鐘脈沖控制C.沒有穩(wěn)定狀態(tài) D.輸出只與內(nèi)部狀態(tài)有關(guān)9、五個D觸發(fā)器構(gòu)成環(huán)形計數(shù)器,其計數(shù)長度為。A.5 B.10 C.25 D.3210、一位8421BCD碼

26、計數(shù)器至少需要個觸發(fā)器。A.3 B.4 C.5 D.10二、 填空題(20分)1、邏輯函數(shù)F=+B+D的反函數(shù)=。2、邏輯函數(shù)F=A(B+C)1的對偶函數(shù)是。3、已知函數(shù)的對偶式為+,則它的原函數(shù)為。4、時序邏輯電路按照其觸發(fā)器是否有統(tǒng)一的時鐘控制分為時序電路和時序電路。5、如下圖題2-5a和圖題2-5b所示的電路,寫出對應(yīng)的邏輯關(guān)系表達式圖題2-5b圖題2-5aY1= , Y2=6、完成數(shù)制轉(zhuǎn)換(11011.110)2 =()10 = ()16(29)10 =()2 = ()16三、 (12分)用卡諾圖法化簡下列函數(shù)為最簡與或式,并用與非門實現(xiàn)電路(1) F1(A,B,C,D)=m(2,3,

27、6,7,8,10,12,14)(2) F2(A,B,C,D)=四、 (15分)試畫出用3線-8線譯碼器74LS138和必要的門電路產(chǎn)生如下多輸出邏輯函數(shù)的邏輯圖74LS138功能表如表題4,74LS138邏輯電路圖如圖題4表題4S1A2A1A001111111111111111110000011111111000110111111100101101111110011111011111010011110111101011111101110110111111011011111111110圖題4五、 (13分)施密特觸發(fā)器CT1014組成圖題5a所示電路,圖題5b為CT1014的電壓傳輸特性曲線,試

28、定性畫出Va和Vo處的波形。圖題5a圖題5b六、 20分)分析圖題6所示電路的功能,畫出電路的轉(zhuǎn)換圖和時序圖。說明電路能否自啟動。圖題6數(shù)字電子技術(shù)試卷(12)一、 選擇題(20分)1. 一個四輸入端與非門,使其輸出為0的輸入變量取值組合有種A.15,B.7 C.3 D.12. 已知(111)X=(1057)10,則X=。A.4 B.8C.16D.323. 當(dāng)邏輯函數(shù)有n個變量時,共有個變量取值組合? A.n B.2n C. n2 D.2n4. 在何種輸入情況下,“與非”運算的結(jié)果是邏輯0。 A全部輸入是0 B.任一輸入是0 C.僅一輸入是0 D.全部輸入是15. 一個觸發(fā)器可記錄一位二進制代

29、碼,它有個穩(wěn)態(tài)。A.0 B.1 C.2 D.3 E.46存儲8位二進制信息要個觸發(fā)器。A.2 B.3 C.4 D.87對于D觸發(fā)器,欲使Qn+1=Qn,應(yīng)使輸入D=。A.0 B.1 C.Q D.8下列觸發(fā)器中,沒有約束條件的是。A.基本RS觸發(fā)器 B.主從RS觸發(fā)器 C.同步RS觸發(fā)器 D.邊沿D觸發(fā)器9若在編碼器中有50個編碼對象,則要求輸出二進制代碼位數(shù)為 位。 A.5 B.6 C.10 D.5010.欲設(shè)計0,1,2,3,4,5,6,7這幾個數(shù)的計數(shù)器,如果設(shè)計合理,采用同步二進制計數(shù)器,最少應(yīng)使用級觸發(fā)器。A.2 B.3 C.4 D.8二、 填空題(20分)1一個基本RS觸發(fā)器在正常工

30、作時,它的約束條件是+=1,則它不允許輸入=且=的信號。2.數(shù)字電路按照是否有記憶功能通??煞譃閮深悾?、。3時序邏輯電路按照其觸發(fā)器是否有統(tǒng)一的時鐘控制分為時序電路和時序電路。4. 描述同步時序電路有三組方程,指的是、和5. 寫出圖2-5所示函數(shù)Y1和Y2的邏輯表達式。設(shè)電路元件參數(shù)的選取滿足邏輯要求。圖題2-5Y1=Y2=6、完成數(shù)制轉(zhuǎn)換 (78.8)16=()10(76543.21)8=()16 (110110111)2=()10=()16三、 (10分)用卡諾圖法將邏輯函數(shù)化為最簡與或式。(1) Y1(ABCD)=m(0,1,2,3,4,6,8,9,10,11,14)(2) Y2=四、

31、(15分)試利用3線-8線譯碼器74LS138設(shè)計一個多輸出的組合邏輯電路。輸出的邏輯函數(shù)式為1) Z1=2) Z2=Z3) Z3=4) Z4=74LS138功能表如表題4,74LS138邏輯電路圖如圖題4表題4S1A2A1A001111111111111111110000011111111000110111111100101101111110011111011111010011110111101011111101110110111111011011111111110圖題4五、 (15分)圖5(a)是5G555內(nèi)部原理框圖,圖5(b)為它的符號,圖中為低觸發(fā)端,TH為高觸發(fā)端,為清零端,C-V

32、為控制電壓端,DIS為放電端,OUT為輸出端。1) 試討論=1,C-V經(jīng)0.01uF電容接地時, TH和兩端輸入不同電壓,5G555的OUT的輸出情況,即劃出5G555的功能表2) 圖5(c)中,若VCC=+5V,則電路的VT+,VT-,V各為多少伏?3) 畫出圖5(c)電路的電壓傳輸特性曲線Vo=f(Vi)。若在Vi端加三角波,峰-峰值為+5V -5V,定性劃出Vo的波形。圖題5(a)圖題5(c)圖題5(b)六、 (20分)J-KFF觸發(fā)器構(gòu)成的計數(shù)器電路如圖題6所示。分析電路功能,說明電路是幾進制計數(shù)器,能否自啟動。畫出其狀態(tài)轉(zhuǎn)換圖。圖題6數(shù)字電子技術(shù)試卷(1)參考答案一 填空1 1111

33、011,7B 2 8613 與,或,非4 0,1,高阻5 真值表,邏輯圖,邏輯表達式,卡諾圖,波形圖(時序圖)6 波形的整形7 20伏8 并行A/D,串并行A/D,逐次比較A/D,雙積分A/D(寫出三種)二 判斷題12345三化簡邏輯函數(shù)12四, ,全加器,Y為和,為進位。五,六采用D觸發(fā)器,電路圖略。將非工作狀態(tài)101,110,111帶入方程得次態(tài),101001,110101001,111001,電路可以自啟動。七f =65Hz數(shù)字電子技術(shù)(2)參考答案一 填空題(16)1 100011.110,23.C2 0,13 當(dāng)前輸入,原狀態(tài)4 0,1,高阻;任何時候,只能有1個三態(tài)門被選通。5 有

34、兩個穩(wěn)態(tài),在觸發(fā)信號作用下狀態(tài)可相互轉(zhuǎn)變,有記憶功能6 延時7 5.5v8 13二 判斷題(10)12345三 化簡題(14)12四(15)五(15) , 六(15)驅(qū)動方程:, 邏輯功能:X=0時,同步三進制計數(shù)器;X1時,同步三進制減法計數(shù)器。 該電路為同步三進制可逆計數(shù)器,并且能自啟動。七(15) 數(shù)字電子答案3一 填空題(16)1 1010110,100001102 73 16,64 狀態(tài)轉(zhuǎn)換表,狀態(tài)轉(zhuǎn)換圖,特性方程5 06 2564bit7 5.275伏8 轉(zhuǎn)換精度,轉(zhuǎn)換速度二 回答問題(10)1 不正確。當(dāng)X=0時,YZ,等式成立。2 五進制計數(shù)器,10000/52KHz三 化簡函

35、數(shù)(14)1 A+C2四(15)五(15)1表示正常工作,0表示出故障;設(shè)用R,Y,G表示紅,黃,綠燈:1表示燈亮,0表示燈滅。六(15) 驅(qū)動方程:; 狀態(tài)方程:,輸出方程:七數(shù)字電子試卷答案4一 填空題(16)111.1023,4651伏616,871.1RC8轉(zhuǎn)換速度,轉(zhuǎn)換精度二 回答問題(10)1 不正確。當(dāng)X=0時,YZ,等式仍然成立。2 正確。只有X=Y=0或X=Y=1時,等式X+Y=XY才成立。三 化簡函數(shù)12四功能:全減器,A為被減數(shù),B為減數(shù),C為低位的借位;位本位差,為向高位的借位。五 , 六M=3,使用2個D觸發(fā)器,電路自啟動檢查:設(shè)00,代入方程求次態(tài)為10,該電路能自

36、啟動。七f =65Hz數(shù)字電子技術(shù)試卷答案5一、選擇題(從每小題的四個備選答案中,選出一個正確答案,并將其號碼填在括號內(nèi),每小題2分,共20分) 1. 2. 3. 4. 5.6. 7. 8. 9. 10. 二判斷題(20分)1( )2()3()4()5()6()7()8()9()10()三簡答(10分)1答:RS觸發(fā)器: JK觸發(fā)器: 其中RS觸發(fā)器有約束方程,因為RS觸發(fā)器有不允許的輸入條件。2證:右= 左=右, 證畢!四用卡諾圖化簡(10分)五(15分)根據(jù)圖1,可列出Y得真值表A B CY0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1 0 0 0 1 0

37、 1 1 1 由真值表可得Y得卡諾圖,化簡得六(10分)解:根據(jù)數(shù)據(jù)選擇器的工作原理,由圖可得:七(15分)解:電路狀態(tài)方程為:狀態(tài)圖如下: 可見N=5, 能自啟動。數(shù)字電子技術(shù)試卷參考答案(06)六、 數(shù)制轉(zhuǎn)換()答案:、()()()、()()()、(127)()()、()()、()原碼()反碼=( )補碼二、選擇填空題()答案: 1)d 2)a 3)a 4)d 5)d三、用卡諾圖化簡法將下列邏輯函數(shù)化為最簡與或形式()答案:(1)、()、七、 證明下列邏輯恒等式(方法不限)()答案:用公式法或卡諾圖法以及其他方法均可。八、 設(shè)計一位二進制全減器邏輯電路。()答案:列出真值表,得邏輯表達式(

38、并化簡),畫出邏輯電路圖。上式可化簡:據(jù)上式用邏輯電路實現(xiàn)。六、分析如下時序電路的邏輯功能。()答案:據(jù)邏輯圖寫出電路的驅(qū)動方程:) 求出狀態(tài)方程:)寫出輸出方程:) 列出狀態(tài)轉(zhuǎn)換表或狀態(tài)轉(zhuǎn)換圖或時序圖:Y0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 10 0 10 1 00 1 11 0 01 0 11 1 00 0 00 0 0000000115)從以上看出,每經(jīng)過個時鐘信號以后電路的狀態(tài)循環(huán)變化一次;同時,每經(jīng)過個時鐘脈沖作用后輸出端輸出一個脈沖,所以,這是一個七進制記數(shù)器,端的輸出就是進位。七、如圖所示,用555定時器接成的施密特觸發(fā)器電路中,試求:()解:()(2/3)=8,(1/3)=4,(/3)=(),/5,/數(shù)字電子技術(shù)試卷參考答案(07)一、 數(shù)制轉(zhuǎn)換(

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論